Xiao Sheng

发表

Cong Wang, Naehyuck Chang, Huazhong Yang, 2014, 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Huazhong Yang, Chun Jason Xue, Yongpan Liu, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Huazhong Yang, Yongpan Liu, Xiao Sheng, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xiao Sheng, Xing Changfeng, Shi Zhangsong, 2011, 2011 International Conference on Electronics, Communications and Control (ICECC).

Huazhong Yang, Xiaobo Sharon Hu, Shuangchen Li, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiao Sheng, Hu Jinzhu, Wu Feng-wen, 2008, CSSE 2008.

Rong Luo, Meng-Fan Chang, Huazhong Yang, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Cong Wang, Naehyuck Chang, Huazhong Yang, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Huazhong Yang, Yongpan Liu, Xiao Sheng, 2019, ACM Trans. Embed. Comput. Syst..

Narayanan Vijaykrishnan, Yuan Xie, Xueqing Li, 2017, ACM Trans. Embed. Comput. Syst..