Kailash Gopalakrishnan

发表

Geoffrey W. Burr, Dharmendra S. Modha, Bryan L. Jackson, 2013, JETC.

Vijayalakshmi Srinivasan, Zehra Sura, Sunil Shukla, 2016, 2016 IEEE International Conference on Rebooting Computing (ICRC).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Daniel Brand, Kailash Gopalakrishnan, Jungwook Choi, 2018, NeurIPS.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2020, NeurIPS.

Hideo Kobayashi, Naoya Hayashi, Mary Beth Rothwell, 2008, European Mask and Lithography Conference.

Kailash Gopalakrishnan, Alvaro Padilla, John Karidis, 2010, 2010 IEEE Globecom Workshops.

Swagath Venkataramani, Zhuo Wang, Vijayalakshmi Srinivasan, 2018, ArXiv.

Pritish Narayanan, Kumar Virwani, Kailash Gopalakrishnan, 2015, IEEE Journal of the Electron Devices Society.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Zhuo Wang, 2019, MLSys.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2018, ISLPED.

Vikas Sindhwani, Kailash Gopalakrishnan, Suyog Gupta, 2014, ArXiv.

Swagath Venkataramani, Zhuo Wang, Vijayalakshmi Srinivasan, 2018, ArXiv.

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2018, ISLPED.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Shubham Jain, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, Proceedings of the IEEE.

Swagath Venkataramani, Sunil Shukla, Kailash Gopalakrishnan, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Kailash Gopalakrishnan, Geoffrey W. Burr, Bülent N. Kurdi, 2008, IBM J. Res. Dev..

Swagath Venkataramani, Vijayalakshmi Srinivasan, George Gristede, 2018, IEEE Solid-State Circuits Letters.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Wei Wang, Swagath Venkataramani, Hiroshi Inoue, 2019, 2019 IEEE Symposium in Low-Power and High-Speed Chips (COOL CHIPS).

Pritish Narayanan, Ankur Agrawal, Kailash Gopalakrishnan, 2015, ICML.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2019, NeurIPS.

Charbel Sakr, Naresh R. Shanbhag, Zhuo Wang, 2018, 2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Kailash Gopalakrishnan, Jungwook Choi, Jinwook Oh, 2016, 2016 IEEE International Workshop on Signal Processing Systems (SiPS).

Kailash Gopalakrishnan, Jungwook Choi, Ankur Agrawal, 2019, 2019 IEEE 26th Symposium on Computer Arithmetic (ARITH).

Swagath Venkataramani, Vijayalakshmi Srinivasan, Songtao Lu, 2021, NeurIPS.

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2018, 2018 IEEE Symposium on VLSI Circuits.

Charbel Sakr, Naresh R. Shanbhag, Ankur Agrawal, 2019, ICLR.

Swagath Venkataramani, Philip Heidelberger, Vijayalakshmi Srinivasan, 2019, 2019 IEEE 26th International Conference on High Performance Computing, Data, and Analytics (HiPC).

Swagath Venkataramani, Xiao Sun, Mingu Kang, 2020, 2020 IEEE Symposium on VLSI Circuits.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2019, 2019 IEEE International Symposium on Workload Characterization (IISWC).

Wei Zhang, Swagath Venkataramani, Vijayalakshmi Srinivasan, 2017, DAC.

Brian Kingsbury, George Saon, Swagath Venkataramani, 2021, Interspeech.

Swagath Venkataramani, Vijayalakshmi Srinivasan, Kailash Gopalakrishnan, 2021, 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Joel Silberman, Swagath Venkataramani, Matthew M. Ziegler, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Joel Silberman, Swagath Venkataramani, Vijayalakshmi Srinivasan, 2022, IEEE Journal of Solid-State Circuits.

Joel Silberman, Swagath Venkataramani, Mingu Kang, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Pritish Narayanan, Kumar Virwani, Kailash Gopalakrishnan, 2015, IEEE Transactions on Electron Devices.

Kumar Virwani, Philip M. Rice, Kailash Gopalakrishnan, 2011 .