Paul Whatmough

发表

Gu-Yeon Wei, Yuan Yao, David Brooks, 2019, ACM Trans. Archit. Code Optim..

Matthew Mattina, Yuhao Zhu, Tushar Krishna, 2018, ArXiv.

Yu Feng, Yuhao Zhu, Tiancheng Xu, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yu Cao, Jae-Sun Seo, Xin Li, 2019, ACM J. Emerg. Technol. Comput. Syst..

Gu-Yeon Wei, Sae Kyu Lee, Brandon Reagen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Matthew Mattina, Yuhao Zhu, Tushar Krishna, 2020, 2020 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Gu-Yeon Wei, José Miguel Hernández-Lobato, Sae Kyu Lee, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Yuhao Zhu, Yu Feng, Paul Whatmough, 2019, MICRO.

Gu-Yeon Wei, Aleksandra Faust, Srivatsan Krishnan, 2021, ArXiv.

Matthew Mattina, Igor Fedorov, Hokchhay Tann, 2022, ArXiv.

Kartikeya Bhardwaj, Dibakar Gope, Paul Whatmough, 2021, ArXiv.