Shubhendu S. Mukherjee

发表

Mark D. Hill, Shubhendu S. Mukherjee, 1998, Computer.

David I. August, Neil Vachharajani, Shubhendu S. Mukherjee, 2005, ISCA 2005.

Andrew A. Chien, Mark D. Hill, Shubhendu S. Mukherjee, 1998, Computer.

Joel Emer, Shubhendu S. Mukherjee, Pritpal S. Ahuja, 2004 .

Shubhendu S. Mukherjee, Peter J. Bannon, S. Lang, 2001 .

James R. Larus, Babak Falsafi, David A. Wood, 1995 .

Mithuna Thottethodi, Shubhendu S. Mukherjee, Alvin R. Lebeck, 2002 .

Shubhendu S. Mukherjee, Sheetal V. Kakkad, Paul R. Wilson, 1994, J. Syst. Softw..

James R. Larus, Joel H. Saltz, Anne Rogers, 1995, PPOPP '95.

Mark D. Hill, Shubhendu S. Mukherjee, 1998 .

Aamer Jaleel, Arijit Biswas, Shubhendu S. Mukherjee, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Mark D. Hill, Shubhendu S. Mukherjee, M. Hill, 1997 .

Todd M. Austin, Sarita V. Adve, Joel S. Emer, 2002, Computer.

Joel Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt, 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

Mark D. Hill, Shubhendu S. Mukherjee, M. Hill, 1998, Proceedings 1998 Fourth International Symposium on High-Performance Computer Architecture.

Tryggve Fossum, Joel S. Emer, Shubhendu S. Mukherjee, 2004, 10th IEEE Pacific Rim International Symposium on Dependable Computing, 2004. Proceedings..

Mark D. Hill, Shubhendu S. Mukherjee, M. Hill, 1998 .

Joel S. Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt, 2004, IEEE Micro.

Joel S. Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt, 2005, 11th International Symposium on High-Performance Computer Architecture.

David I. August, Jonathan Chang, Neil Vachharajani, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

David I. August, Jonathan Chang, Neil Vachharajani, 2005, TACO.

Mark D. Hill, Shubhendu S. Mukherjee, M. Hill, 1997 .

Shubhendu S. Mukherjee, Steven Lang, David Webb, 2002, IEEE Micro.

Mithuna Thottethodi, Shubhendu S. Mukherjee, Alvin R. Lebeck, 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

Mark D. Hill, Shubhendu S. Mukherjee, M. Hill, 1994, ICS '94.

Arijit Biswas, Joel S. Emer, Shubhendu S. Mukherjee, 2008, IEEE Computer Architecture Letters.

James R. Larus, Babak Falsafi, David A. Wood, 1994 .

Shantanu Gupta, Arijit Biswas, Shubhendu S. Mukherjee, 2009, ISCA '09.

Manoj Franklin, Shubhendu S. Mukherjee, André Seznec, 2001, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001.

Mark D. Hill, Shubhendu S. Mukherjee, 1998, ISCA.

Mithuna Thottethodi, Shubhendu S. Mukherjee, Alvin R. Lebeck, 2004, IEEE Transactions on Parallel and Distributed Systems.

Aamer Jaleel, Arijit Biswas, Shubhendu S. Mukherjee, 2010, HPCA 2010.

Joel S. Emer, Shubhendu S. Mukherjee, Steven K. Reinhardt, 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

Shubhendu S. Mukherjee, Peter J. Bannon, S. Lang, 2001, HOT 9 Interconnects. Symposium on High Performance Interconnects.

James R. Larus, Babak Falsafi, David A. Wood, 1993, ISCA '93.

James R. Larus, Babak Falsafi, David A. Wood, 2000, IEEE Concurr..

Shrirang M. Yardi, Arijit Biswas, Joel S. Emer, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Joel Emer, Shubhendu S. Mukherjee, Ram Rangan, 2005, ISCA 2005.

Shubhendu S. Mukherjee, Srilatha Manne, Kypros Constantinides, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Babak Falsafi, David A. Wood, Mark D. Hill, 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

Arijit Biswas, Joel S. Emer, Shubhendu S. Mukherjee, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Shubhendu S. Mukherjee, Steven K. Reinhardt, S. Reinhardt, 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

Shubhendu S. Mukherjee, Steven K. Reinhardt, Michael Kontz, 2002, ISCA.

Mithuna Thottethodi, Shubhendu S. Mukherjee, Alvin R. Lebeck, 2003, Proceedings International Parallel and Distributed Processing Symposium.

James R. Larus, Babak Falsafi, David A. Wood, 1993, Proceedings of the 20th Annual International Symposium on Computer Architecture.

Federico Silla, Joel S. Emer, Shubhendu S. Mukherjee, 2002, ASPLOS X.

Shubhendu S. Mukherjee, Christopher T. Weaver, S.S. Mukherjee, 2003, IEEE Micro.

Shubhendu S. Mukherjee, S. Reinhardt, S. Mukherjee, 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

Shubhendu S. Mukherjee, M. Hill, S. Mukherjee, 1998, Proceedings. 25th Annual International Symposium on Computer Architecture (Cat. No.98CB36235).

Shubhendu S. Mukherjee, M.D. Hill, D.A. Wood, 1996, 23rd Annual International Symposium on Computer Architecture (ISCA'96).

Shubhendu S. Mukherjee, S. Mukherjee, 2002 .