Luis Angel D. Bathen

发表

Nikil D. Dutt, Zili Shao, Yi Wang, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nikil D. Dutt, Zili Shao, Yi Wang, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nikil D. Dutt, Sudeep Pasricha, Luis Angel D. Bathen, 2013, TECS.

Divyesh Jadav, Luis Angel D. Bathen, Ramani Routray, 2010, 2010 IEEE Network Operations and Management Symposium - NOMS 2010.

Nikil D. Dutt, Sudeep Pasricha, Luis Angel D. Bathen, 2008, 2008 IEEE/ACM/IFIP Workshop on Embedded Systems for Real-Time Multimedia.

Nikil D. Dutt, Sudeep Pasricha, Gabor Madl, 2006, EMSOFT '06.

Nikil D. Dutt, Zili Shao, Yi Wang, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Nikil D. Dutt, Luis Angel D. Bathen, 2012, DAC Design Automation Conference 2012.

Nikil D. Dutt, Zili Shao, Yi Wang, 2012, DAC Design Automation Conference 2012.

Gabor Madl, Divyesh Jadav, German H. Flores, 2019, 2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW).

Nikil D. Dutt, Luis Angel D. Bathen, 2011, 2011 Design, Automation & Test in Europe.

Samir Tata, Heiko Ludwig, Luis Angel D. Bathen, 2016, ICSOC Workshops.

Luis Angel D. Bathen, Binny S. Gill, L. A. Bathen, 2007, TOS.

Nikil D. Dutt, Luis Angel D. Bathen, 2011, 2011 IEEE International Symposium on Hardware-Oriented Security and Trust.

Nikil Dutt, Sung-Soo Lim, Luis Angel D. Bathen, 2011 .

Nikil D. Dutt, Sudeep Pasricha, Luis Angel D. Bathen, 2009, 2009 IEEE/ACM/IFIP 7th Workshop on Embedded Systems for Real-Time Multimedia.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2012, CODES+ISSS '12.

Nikil D. Dutt, Sung-Soo Lim, Luis Angel D. Bathen, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Nikil D. Dutt, Majid Namaki-Shoushtari, Bryan Donyanavard, 2018, ACM Trans. Embed. Comput. Syst..

Divyesh Jadav, Luis Angel D. Bathen, Sandip Agarwala, 2011, 2011 IEEE 4th International Conference on Cloud Computing.

Luis Angel D. Bathen, Binny S. Gill, L. Bathen, 2007, FAST.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Mu Qiao, Luis Angel D. Bathen, Ramani Routray, 2018, 2018 IEEE 11th International Conference on Cloud Computing (CLOUD).

Nikil D. Dutt, Alexandru Nicolau, Luis Angel D. Bathen, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Nikil D. Dutt, Luis Angel D. Bathen, Yongjin Ahn, 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

Nikil D. Dutt, Sung-Soo Lim, Luis Angel D. Bathen, 2013, Des. Autom. Embed. Syst..

Nikil D. Dutt, Sudeep Pasricha, Luis Angel D. Bathen, 2009, 2009 10th International Workshop on Microprocessor Test and Verification.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Nikil D. Dutt, Luis Angel D. Bathen, N. Dutt, 2012, 2012 IEEE International Conference on Embedded and Real-Time Computing Systems and Applications.

Samir Tata, Heiko Ludwig, Luis Angel D. Bathen, 2016, ICSOC Workshops.

Puneet Gupta, Nikil D. Dutt, Alexandru Nicolau, 2015, IEEE Transactions on Computers.

Nikil D. Dutt, Luis Angel D. Bathen, 2014, ACM Trans. Embed. Comput. Syst..

Nikil D. Dutt, Alexandru Nicolau, Luis Angel D. Bathen, 2012, 2012 IEEE 10th Symposium on Embedded Systems for Real-time Multimedia.

Divyesh Jadav, Luis Angel D. Bathen, Ramani Routray, 2009, ICAC '09.

Nikil D. Dutt, Luis Angel D. Bathen, NIKIL D. DUTT, 2011 .