J. Provine

发表

H.-S. Philip Wong, Nishant Patil, Subhasish Mitra, 2010, FPGA '10.

H.-S. Philip Wong, Farzan Fallah, John Watkins, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

H.-S. Philip Wong, Subhasish Mitra, Chen Chen, 2012, 17th Asia and South Pacific Design Automation Conference.

H.-S. Philip Wong, Subhasish Mitra, Chen Chen, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).