S. P. Park

发表

Xuanyao Fong, K. Roy, N. N. Mojumder, 2012, IEEE Sensors Journal.

K. Roy, S. K. Gupta, S. P. Park, 2011, IEEE Transactions on Electron Devices.

Kaushik Roy, Anand Raghunathan, Sumeet Kumar Gupta, 2012, DAC Design Automation Conference 2012.

Phillip J. Wyss, S. P. Park, W. Szpankowski, 2009, Genomics.

Kaushik Roy, Byunghoo Jung, Sang Phill Park, 2012, 2012 IEEE International Conference on IC Design & Technology.

Kaushik Roy, Jae-Joon Kim, Ik Joon Chang, 2009, IEEE Journal of Solid-State Circuits.

Kaushik Roy, Chao Lu, Vijay Raghunathan, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Umut Topkara, Carol X. Song, Carol Song, 2007, GCE 2007.

Kaushik Roy, Jae-Joon Kim, Ik Joon Chang, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Kaushik Roy, Anand Raghunathan, Vaibhav Gupta, 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

Kaushik Roy, Georgios Panagopoulos, Sumeet Kumar Gupta, 2012, ISLPED '12.

Kaushik Roy, Dongsoo Lee, Jae-Joon Kim, 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

Kaushik Roy, Sumeet Kumar Gupta, Sang Phill Park, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Dongsoo Lee, Jae-Joon Kim, Sang Phill Park, 2011, ISLPED 2011.

Kaushik Roy, Chao Lu, Vijay Raghunathan, 2012, 2012 25th International Conference on VLSI Design.

Kaushik Roy, Chao Lu, Vijay Raghunathan, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Xuanyao Fong, Kaushik Roy, Yusung Kim, 2014, IEEE Electron Device Letters.