R. T. Cakici

发表

Kaushik Roy, Amit Agarwal, Chris H. Kim, 2003, IEEE Trans. Very Large Scale Integr. Syst..

R. T. Cakici, P. E. Nicollian, C. A. Chancellor, 2012, 2012 IEEE International Reliability Physics Symposium (IRPS).

Kaushik Roy, Ashish Goel, Animesh Datta, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

K. Roy, R. T. Cakici, R.T. Cakici, 2007, IEEE Transactions on Electron Devices.