Cody Coleman

发表

Carole-Jean Wu, Gu-Yeon Wei, David A. Patterson, 2020, IEEE Micro.

David Patterson, Carole-Jean Wu, Gu-Yeon Wei, 2019, MLSys.

Peter Bailis, Alexander C. Berg, Matei Zaharia, 2020, ArXiv.

Baharan Mirzasoleiman, Jure Leskovec, Peter Bailis, 2020, ICLR.

Carole-Jean Wu, Peng Meng, Gennady Pekhimenko, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Kunle Olukotun, Christopher Ré, Jian Zhang, 2018, ACM SIGOPS Oper. Syst. Rev..

Baharan Mirzasoleiman, Jure Leskovec, Peter Bailis, 2019, ICLR.

Cody Coleman, Greg Diamos, Francisco Massa, 2019, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).