B. Vinnakota

发表

B. Vinnakota, Ramesh Harjani, 1995 .

B. Vinnakota, Ramesh Harjani, 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

B. Vinnakota, V. V. Bapeswara Rao, 1994 .

Ramesh Harjani, B. Vinnakota, 1997 .

B. Vinnakota, R. Harjani, 1996 .

Minesh B. Amin, B. Vinnakota, 1996, ICCAD 1996.

B. Vinnakota, M. B. Amin, 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.

Seonki Kim, B. Vinnakota, Xiaoyun Sun, 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

Niraj K. Jha, B. Vinnakota, 1989, Proceedings of the 32nd Midwest Symposium on Circuits and Systems,.

Niraj K. Jha, B. Vinnakota, B. Vinnakota, 1992, [1992] Proceedings The European Conference on Design Automation.

B. Vinnakota, Ramesh Harjani, Nicholas J. Stessman, 1996 .

Ramesh Harjani, B. Vinnakota, 1997 .

Niraj K. Jha, B. Vinnakota, 1991, [1991] Proceedings. Fourth CSI/IEEE International Symposium on VLSI Design.

B. Vinnakota, B. Vinnakota, 1994 .

B. Vinnakota, B. Vinnakota, V. Rao, 1994 .