Bipul Chandra Paul

发表

Kaushik Roy, Hendrawan Soeleman, Bipul Chandra Paul, 2000, ISLPED'00: Proceedings of the 2000 International Symposium on Low Power Electronics and Design (Cat. No.00TH8514).

Kaushik Roy, Hendrawan Soeleman, Bipul Chandra Paul, 2001, IEEE Trans. Very Large Scale Integr. Syst..

Kaushik Roy, Bipul Chandra Paul, Naran Sirisantana, 2004, IEEE Design & Test of Computers.

Kaushik Roy, Bipul Chandra Paul, Woopyo Jeong, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Kaushik Roy, Bipul Chandra Paul, Kunhyuk Kang, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Kaushik Roy, Arijit Raychowdhury, Bipul Chandra Paul, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Kaushik Roy, Hendrawan Soeleman, Bipul Chandra Paul, 2001, VLSI Design 2001. Fourteenth International Conference on VLSI Design.

Kaushik Roy, Amit Agarwal, Bipul Chandra Paul, 2006, Integr..

Kaushik Roy, Bipul Chandra Paul, Cassondra Neau, 2004, 2004 International Conferce on Test.

Ming Zhang, Bipul Chandra Paul, Subhasish Mitra, 2007, 25th IEEE VLSI Test Symposium (VTS'07).

Shinobu Fujita, Bipul Chandra Paul, Thomas Lee, 2007, JETC.

Rolf Drechsler, Chip-Hong Chang, Chulwoo Kim, 2019, IEEE Trans. Very Large Scale Integr. Syst..

Kaushik Roy, Bipul Chandra Paul, 2002, Proceedings. International Test Conference.

Shinobu Fujita, Bipul Chandra Paul, Masaki Okajima, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Arijit Raychowdhury, Bipul Chandra Paul, 2011, Low-Power Variation-Tolerant Design in Nanometer Silicon.

Kaushik Roy, Bipul Chandra Paul, Seung Hoon Choi, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Arijit Raychowdhury, Bipul Chandra Paul, 2013, JETC.

Kaushik Roy, Bipul Chandra Paul, Yonghee Im, 2001, Proceedings 10th Asian Test Symposium.

Kaushik Roy, Bipul Chandra Paul, Aditya Bansal, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Kaushik Roy, Amit Agarwal, Bipul Chandra Paul, 2004, Proceedings of the IEEE 2004 Custom Integrated Circuits Conference (IEEE Cat. No.04CH37571).

Shinobu Fujita, Bipul Chandra Paul, Thomas Lee, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Kaushik Roy, Amit Agarwal, Animesh Datta, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Kaushik Roy, Bipul Chandra Paul, Seung Hoon Choi, 2004, Proceedings. 41st Design Automation Conference, 2004..

Kaushik Roy, Bipul Chandra Paul, Kunhyuk Kang, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Krishnendu Chakrabarty, Bipul Chandra Paul, 2009, IET Comput. Digit. Tech..

Kaushik Roy, Bipul Chandra Paul, Kunhyuk Kang, 2005, Design, Automation and Test in Europe.

Edward J. Nowak, Lars Liebmann, Bipul Chandra Paul, 2018, J. Low Power Electron..

Kaushik Roy, Swarup Bhunia, Arijit Raychowdhury, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Bo Yang, Yu Cao, Wenping Wang, 2008, 2008 IEEE International Test Conference.

Kaushik Roy, Amit Agarwal, Bipul Chandra Paul, 2004, Proceedings. 10th IEEE International On-Line Testing Symposium.

Kaushik Roy, Swarup Bhunia, Arijit Raychowdhury, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.