Jongman Kim

发表

Suhong Ko, Seongchan Gim, Ce Pan, 2006, 2006 SICE-ICASE International Joint Conference.

Jongman Kim, Seungil Yoon, Kyutae Lim, 2011, 2011 IEEE Wireless Communications and Networking Conference.

Jongman Kim, Junghee Lee, Chrysostomos Nicopoulos, 2013, TACO.

Jongman Kim, Junghee Lee, Chrysostomos Nicopoulos, 2011, 2011 IEEE International Parallel & Distributed Processing Symposium.

Jongman Kim, Chrysostomos Nicopoulos, Mamadou Diao, 2011, 2011 IEEE International Parallel & Distributed Processing Symposium.

Chita R. Das, Narayanan Vijaykrishnan, Jongman Kim, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Jongman Kim, Junghee Lee, Chrysostomos Nicopoulos, 2013, Parallel Comput..

Jongman Kim, K. Slot, L. Bronakowski, 2007, 2007 International Symposium on Information Technology Convergence (ISITC 2007).

Jongman Kim, Junghee Lee, Chrysostomos Nicopoulos, 2013, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Jongman Kim, Junghee Lee, Galen M. Shipman, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jongman Kim, Junghee Lee, Chrysostomos Nicopoulos, 2015 .

Jongman Kim, Yongtae Do, Gilsu Kim, 2007, 2007 14th International Conference on Mechatronics and Machine Vision in Practice.

Jongman Kim, Junghee Lee, Galen M. Shipman, 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.

Jongman Kim, Juneseok Lee, Sun Choi, 2012, 2012 International SoC Design Conference (ISOCC).

Jongman Kim, Seungil Yoon, Kyutae Lim, 2010, EURASIP J. Wirel. Commun. Netw..

Chita R. Das, Narayanan Vijaykrishnan, Jongman Kim, 2005, 2005 Symposium on Architectures for Networking and Communications Systems (ANCS).

Jongman Kim, Wonzoo Chung, Young-Seek Chung, 2014 .

Jongman Kim, Junghee Lee, Galen M. Shipman, 2015, IEEE Computer Architecture Letters.

Ada Gavrilovska, Jongman Kim, Moinuddin K. Qureshi, 2014, 2014 21st International Conference on High Performance Computing (HiPC).

Jongman Kim, Chrysostomos Nicopoulos, Hyung Gyu Lee, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Chita R. Das, Narayanan Vijaykrishnan, Jongman Kim, 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

Chita R. Das, Narayanan Vijaykrishnan, Reetuparna Das, 2007, ISCA '07.

Jongman Kim, Seungil Yoon, Kyutae Lim, 2011, 2011 IEEE Consumer Communications and Networking Conference (CCNC).

Jongman Kim, Sung Kyu Lim, Junghee Lee, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chita R. Das, Narayanan Vijaykrishnan, Jongman Kim, 2006, International Conference on Dependable Systems and Networks (DSN'06).

Chita R. Das, Narayanan Vijaykrishnan, Jongman Kim, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Jongman Kim, Chrysostomos Nicopoulos, Hyung Gyu Lee, 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

Jongman Kim, Chrysostomos Nicopoulos, Hyung Gyu Lee, 2011, 2011 IEEE International Conference on High Performance Computing and Communications.

Jongman Kim, Chrysostomos Nicopoulos, Vassos Soteriou, 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

Jongman Kim, Junghee Lee, Chrysostomos Nicopoulos, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Chita R. Das, Narayanan Vijaykrishnan, Reetuparna Das, 2007 .

Dongkun Shin, Jongman Kim, Junghee Lee, 2017, Encyclopedia of GIS.

Chita R. Das, Narayanan Vijaykrishnan, Reetuparna Das, 2007, 15th Annual IEEE Symposium on High-Performance Interconnects (HOTI 2007).

Jongman Kim, Chrysostomos Nicopoulos, Hyung Gyu Lee, 2014, TODE.

Jongman Kim, Junghee Lee, Chrysostomos Nicopoulos, 2015, IEEE Transactions on Computers.

Jongman Kim, Mamadou Diao, Jongman Kim, 2009, ISVC.

Jongman Kim, Jaehyun Park, Junghee Lee, 2017, ACM Trans. Design Autom. Electr. Syst..

Jongman Kim, Seungil Yoon, 2011, 2011 - MILCOM 2011 Military Communications Conference.

Jongman Kim, Chrysostomos Nicopoulos, Hyung Gyu Lee, 2012, GLSVLSI '12.

Chita R. Das, Narayanan Vijaykrishnan, Jongman Kim, 2006, 2006 1st International Conference on Nano-Networks and Workshops.

Ada Gavrilovska, Jongman Kim, Chrysostomos Nicopoulos, 2015, IEEE Computer Architecture Letters.

Jongman Kim, Junghee Lee, Chrysostomos Nicopoulos, 2014, Des. Autom. Embed. Syst..

Jongman Kim, Bummo Koo, Youngho Kim, 2020, International Journal of Precision Engineering and Manufacturing.