David M. Brooks

发表

Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Michael Gschwind, David M. Brooks, Pradip Bose, 2004, IEEE Transactions on Computers.

Robert J. Wood, Gu-Yeon Wei, David M. Brooks, 2011, 2011 IEEE/RSJ International Conference on Intelligent Robots and Systems.

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2012, ISLPED '12.

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2016, 2016 IEEE International Symposium on Workload Characterization (IISWC).

Gu-Yeon Wei, David M. Brooks, Xiaoyao Liang, 2008, Proceeding of the 13th international symposium on Low power electronics and design (ISLPED '08).

Gu-Yeon Wei, David M. Brooks, Ali Durlov Khan, 2009, 2009 10th International Symposium on Quality Electronic Design.

Margaret Martonosi, David M. Brooks, D. Brooks, 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

Kevin Skadron, David M. Brooks, Pradip Bose, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Gu-Yeon Wei, David M. Brooks, Yuan Yao, 2019, IEEE Computer Architecture Letters.

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2012, DAC Design Automation Conference 2012.

David M. Brooks, Antonio González, Carlos Molina, 2011, 2011 18th International Conference on High Performance Computing.

Gu-Yeon Wei, David M. Brooks, Benjamin C. Lee, 2009, 2009 IEEE International Conference on Computer Design.

David M. Brooks, James R. Small, Charles S. Neer, 2005 .

Gu-Yeon Wei, David M. Brooks, Mark Hempstead, 2009, CASES '09.

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Gu-Yeon Wei, David M. Brooks, Mark Hempstead, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Gu-Yeon Wei, David M. Brooks, José Miguel Hernández-Lobato, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

David M. Brooks, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Li Shang, David M. Brooks, Russ Joseph, 2007, IEEE Micro.

David M. Brooks, Pradip Bose, David H. Albonesi, 2001, GLSVLSI '01.

Michael Gschwind, David M. Brooks, Pradip Bose, 2002, MICRO.

Gu-Yeon Wei, David M. Brooks, Wai-Chi Fang, 2006, 2006 International Conference on Intelligent Information Hiding and Multimedia.

David M. Brooks, Yakun Sophia Shao, D. Brooks, 2015, Research Infrastructures for Hardware Accelerators.

David M. Brooks, Vijay Janapa Reddi, D. Brooks, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David M. Brooks, Lukasz Strozek, D. Brooks, 2006, CASES '06.

Michael Gschwind, David M. Brooks, Pradip Bose, 2003, IBM J. Res. Dev..

David M. Brooks, Xiaoyao Liang, Kerem Turgay, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Gu-Yeon Wei, David M. Brooks, Tipp Moseley, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Gu-Yeon Wei, David M. Brooks, Ramon Canal, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

David M. Brooks, Margo I. Seltzer, Peter Bailis, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

David M. Brooks, Michael J. Lyons, D. Brooks, 2009, ISLPED.

David M. Brooks, Benjamin C. Lee, Hong Wang, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Margaret Martonosi, David M. Brooks, D. Brooks, 2001, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture.

David M. Brooks, Simon Chaput, Gu-Yeon Wei, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Gu-Yeon Wei, David M. Brooks, Paul N. Whatmough, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

James E. Smith, Michael Gschwind, Tejas Karkhanis, 2002, PACS.

Gu-Yeon Wei, David M. Brooks, Pradip Bose, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2015, 2015 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).

Gu-Yeon Wei, David M. Brooks, Benjamin C. Lee, 2009, 2009 IEEE International Conference on Computer Design.

David M. Brooks, Yakun Sophia Shao, D. Brooks, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2017, Synthesis Lectures on Computer Architecture.

Gu-Yeon Wei, David M. Brooks, Xiaoyao Liang, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

Gu-Yeon Wei, David M. Brooks, Michael J. Lyons, 2012, TACO.

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2010, TACO.

Gu-Yeon Wei, David M. Brooks, Patrick Hansen, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

David M. Brooks, Matt Welsh, Mark Hempstead, 2004, 29th Annual IEEE International Conference on Local Computer Networks.

Margaret Martonosi, Qiang Wu, David M. Brooks, 2005, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05).

Gu-Yeon Wei, David M. Brooks, Nikhil Tripathi, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Michael D. Smith, Gu-Yeon Wei, David M. Brooks, 2011, IEEE Micro.

Gu-Yeon Wei, David M. Brooks, Xiaoyao Liang, 2008, 2008 International Symposium on Computer Architecture.

Eric Cheng, Rajiv V. Joshi, Arun Paidimarri, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

David M. Brooks, Benjamin C. Lee, Benjamin C. Lee, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Gu-Yeon Wei, David M. Brooks, Michael J. Lyons, 2010, IEEE Computer Architecture Letters.

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2017, 2017 51st Asilomar Conference on Signals, Systems, and Computers.

Gu-Yeon Wei, David M. Brooks, Michael J. Lyons, 2013, IEEE Computer Architecture Letters.

Gu-Yeon Wei, David M. Brooks, Mark Hempstead, 2009, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Meeta Sharma Gupta, Krishna K. Rangan, Michael D. Smith, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

Gu-Yeon Wei, David M. Brooks, Robert Adolf, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

Gu-Yeon Wei, David M. Brooks, Wonyoung Kim, 2012, IEEE Journal of Solid-State Circuits.

Victor Lee, Gu-Yeon Wei, David M. Brooks, 2019, ACM Trans. Archit. Code Optim..

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2012, CGO '12.

David M. Brooks, Pradip Bose, David H. Albonesi, 2000, PACS.

Margaret Martonosi, Qiang Wu, David M. Brooks, 2006, IEEE Micro.

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2012, IEEE Micro.

Gu-Yeon Wei, David M. Brooks, Tipp Moseley, 2016, IEEE Micro.

Margaret Martonosi, David M. Brooks, Russ Joseph, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

David M. Brooks, James R. Small, Charles S. Neer, 2005 .

David M. Brooks, Benjamin C. Lee, Benjamin C. Lee, 2010, TACO.

Michael D. Smith, Gu-Yeon Wei, David M. Brooks, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

David M. Brooks, Lukasz Strozek, D. Brooks, 2009, ACM Trans. Archit. Code Optim..

Kevin Skadron, David M. Brooks, Zhigang Hu, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Gu-Yeon Wei, David M. Brooks, Vijayalakshmi Srinivasan, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Robert J. Wood, Gu-Yeon Wei, David M. Brooks, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

David M. Brooks, Yakun Sophia Shao, D. Brooks, 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Gu-Yeon Wei, David M. Brooks, Ali Durlov Khan, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

David M. Brooks, John Sheu, Yau Chin, 2004, IEEE International Conference on Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings..

David M. Brooks, Kim M. Hazelwood, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

David M. Brooks, Reza Azimi, Sherief Reda, 2017, IEEE Computer Architecture Letters.

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2018, Design Automation Conference.

Krishna K. Rangan, Gu-Yeon Wei, David M. Brooks, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Gu-Yeon Wei, David M. Brooks, Michael J. Lyons, 2008, J. Low Power Electron..

Gu-Yeon Wei, David M. Brooks, Brandon Reagen, 2015, IEEE Micro.

Gu-Yeon Wei, David M. Brooks, Kim M. Hazelwood, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

David M. Brooks, Benjamin C. Lee, Benjamin C. Lee, 2008, ASPLOS.

David M. Brooks, 2015, 2015 International Symposium on VLSI Technology, Systems and Applications.

Margaret Martonosi, Vivek Tiwari, David M. Brooks, 2000, Proceedings of 27th International Symposium on Computer Architecture (IEEE Cat. No.RS00201).

David M. Brooks, 2011, IEEE Micro.

Kevin Skadron, David M. Brooks, Benjamin C. Lee, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Margaret Martonosi, David M. Brooks, Pradip Bose, 2000, PACS.

David M. Brooks, Benjamin C. Lee, Benjamin C. Lee, 2006, ASPLOS XII.

Margaret Martonosi, David M. Brooks, D. Brooks, 1999, CANPC.

Gu-Yeon Wei, David M. Brooks, Svilen Kanev, 2012, ISLPED '12.

Gu-Yeon Wei, David M. Brooks, José Miguel Hernández-Lobato, 2020, ISLPED.

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

David M. Brooks, Benjamin C. Lee, Benjamin C. Lee, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

Gu-Yeon Wei, David M. Brooks, Svilen Kanev, 2017, ASPLOS.

Meeta Sharma Gupta, Michael D. Smith, Gu-Yeon Wei, 2010, IEEE Micro.

David M. Brooks, 2015, 2015 IEEE International Conference on Networking, Architecture and Storage (NAS).

Gu-Yeon Wei, David M. Brooks, Sae Kyu Lee, 2017, 2017 IEEE International Solid-State Circuits Conference (ISSCC).

Margaret Martonosi, David M. Brooks, Pradip Bose, 2004, PERV.

Kevin Skadron, David M. Brooks, Zhigang Hu, 2005, 11th International Symposium on High-Performance Computer Architecture.

Meeta Sharma Gupta, Gu-Yeon Wei, David M. Brooks, 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

David M. Brooks, Kevin Lee, Xiaodong Wang, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2017, Commun. ACM.

Gu-Yeon Wei, David M. Brooks, Yuhao Zhu, 2018, ArXiv.

Gu-Yeon Wei, David M. Brooks, Xuan Zhang, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Gu-Yeon Wei, David M. Brooks, Wonyoung Kim, 2011, 2011 IEEE International Solid-State Circuits Conference.

David M. Brooks, Benjamin C. Lee, 2007, IEEE Micro.

Gu-Yeon Wei, David M. Brooks, Kevin Brownell, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Gu-Yeon Wei, David M. Brooks, Simone Campanoni, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Sally A. McKee, Martin Schulz, Bronis R. de Supinski, 2007, PPoPP.

David M. Brooks, Xiaoyao Liang, D. Brooks, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Gu-Yeon Wei, David M. Brooks, Michael J. Lyons, 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

Gu-Yeon Wei, David M. Brooks, Ramon Canal, 2007 .