Semeen Rehman

发表

Muhammad Shafique, Faiq Khalid, Muhammad Abdullah Hanif, 2018, 2018 International Conference on Frontiers of Information Technology (FIT).

Muhammad Shafique, Faiq Khalid, Muhammad Abdullah Hanif, 2019, ArXiv.

Muhammad Shafique, Faiq Khalid, Semeen Rehman, 2018, ArXiv.

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Faiq Khalid, Muhammad Abdullah Hanif, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Muhammad Abdullah Hanif, Semeen Rehman, 2018, Design Automation Conference.

Muhammad Shafique, Semeen Rehman, Bharath Srinivas Prabakaran, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Rüdiger Kapitza, Muhammad Shafique, Rolf Ernst, 2015, it Inf. Technol..

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2013, 2013 IEEE 19th Real-Time and Embedded Technology and Applications Symposium (RTAS).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2015, 2015 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2016, IEEE Design & Test.

Muhammad Shafique, Faiq Khalid, Semeen Rehman, 2018, 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS).

Muhammad Shafique, Faiq Khalid, Muhammad Abdullah Hanif, 2019, DAC.

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2012, 17th Asia and South Pacific Design Automation Conference.

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2012, DAC Design Automation Conference 2012.

Muhammad Shafique, Muhammad Abdullah Hanif, Semeen Rehman, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Jörg Henkel, Hongyan Zhang, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Axel Jantsch, Muhammad Shafique, Faiq Khalid, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Faiq Khalid, Muhammad Abdullah Hanif, 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Semeen Rehman, Jörg Henkel, Akash Kumar, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2011, 2011 18th IEEE International Conference on Image Processing.

Jorg Henkel, Semeen Rehman, Muhammad Shafique, 2016 .

Muhammad Shafique, Faiq Khalid, Muhammad Abdullah Hanif, 2018, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2016 .

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Muhammad Shafique, Faiq Khalid, Muhammad Abdullah Hanif, 2018, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Muhammad Abdullah Hanif, Semeen Rehman, 2018, ArXiv.

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jorg Henkel, Muhammad Shafique, Semeen Rehman, 2016 .

Jorg Henkel, Muhammad Shafique, Semeen Rehman, 2016 .

Muhammad Abdullah Hanif, Semeen Rehman, Muhammad Shafique, 2019, Approximate Circuits.

Muhammad Shafique, Faiq Khalid, Semeen Rehman, 2018, 2018 21st Euromicro Conference on Digital System Design (DSD).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Heba Khdr, Muhammad Shafique, Jörg Henkel, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2016, IEEE Transactions on Computers.

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2016, ACM Trans. Embed. Comput. Syst..

Muhammad Shafique, Muhammad Abdullah Hanif, Semeen Rehman, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Muhammad Abdullah Hanif, Semeen Rehman, Muhammad Shafique, 2019, Machine Learning in VLSI Computer-Aided Design.

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Muhammad Shafique, Muhammad Abdullah Hanif, Semeen Rehman, 2018, J. Low Power Electron..

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2016, IEEE Transactions on Computers.

Muhammad Usman Karim Khan, Muhammad Shafique, Jörg Henkel, 2017, IEEE Transactions on Computers.

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Muhammad Shafique, Jörg Henkel, Semeen Rehman, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Muhammad Shafique, Faiq Khalid, Muhammad Abdullah Hanif, 2018, 2018 IEEE 24th International Symposium on On-Line Testing And Robust System Design (IOLTS).

Semeen Rehman, Najma Taimoor, Semeen Rehman, 2022, IEEE Access.

Muhammad Shafique, Semeen Rehman, Bharath Srinivas Prabakaran, 2021, IEEE Internet of Things Journal.

Muhammad Shafique, Semeen Rehman, Salim Ullah, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.