Jiang Hu

发表

Harish Krishnaswamy, Jose Silva-Martinez, Aydin İlker Karşılayan, 2016 .

Jiang Hu, Miguel I. Aguirre-Urreta, Jiang Hu, 2019, DATB.

Sachin S. Sapatnekar, Charles J. Alpert, Andrew B. Kahng, 2002 .

Paul Gratz, Jiang Hu, Paul V. Gratz, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Jeyavijayan Rajendran, Jiang Hu, Amr Abuellil, 2019, 2019 IEEE International Test Conference (ITC).

Jeyavijayan Rajendran, Edgar Sánchez-Sinencio, Jiang Hu, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Congyin Shi, Edgar Sánchez-Sinencio, Jiang Hu, 2017, 2017 IEEE International Test Conference (ITC).

José Silva-Martínez, Jiang Hu, Kyu-Nam Shim, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Cheng-Kok Koh, Jiang Hu, 2012, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yiran Chen, Jiang Hu, Xiaoqing Xu, 2020, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Shiyan Hu, Jiang Hu, Patrik Shah, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sachin S. Sapatnekar, Jiang Hu, Farhana Sharmin Snigdha, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hai Li, Yiran Chen, Jiang Hu, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Jiang Hu, Miguel I. Aguirre-Urreta, Mikko Rönkkö, 2017, AMCIS.

Jiang Hu, Kyu-Nam Shim, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sachin S. Sapatnekar, Tonmoy Dhar, Kishor Kunal, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Guan Wang, Jiang Hu, Yimei Kang, 2011, 2011 5th International Conference on Signal Processing and Communication Systems (ICSPCS).

Azadeh Davoodi, Muhammet Mustafa Ozdal, Jiang Hu, 2015, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jiang Hu, Thomas H. Marek, Hongxin Kong, 2020 .

José Silva-Martínez, Jiang Hu, Kyu-Nam Shim, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Sachin S. Sapatnekar, Tonmoy Dhar, Kishor Kunal, 2020, IEEE Design & Test.

Ganesh Venkataraman, Jiang Hu, Frank Liu, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jeff Huang, Jiayi Huang, Jiang Hu, 2022, ACM Transactions on Design Automation of Electronic Systems.

Jiang Hu, Chen-Chia Chang, Tunhou Zhang, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Sachin S. Sapatnekar, Meghna Madhusudan, Jiang Hu, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Sachin S. Sapatnekar, Ramesh Harjani, Tonmoy Dhar, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).