Peng Li

发表

Wei Dong, Peng Li, Xiaoji Ye, 2007, 2007 IEEE Custom Integrated Circuits Conference.

Qian Wang, Peng Li, Peng Li, 2016, 2016 23rd International Conference on Pattern Recognition (ICPR).

Wei Dong, Peng Li, Xiaoji Ye, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Wei Dong, Guo Yu, Peng Li, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Wei Dong, Peng Li, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Xin Li, Yang Xu, Lawrence T. Pileggi, 2004, Proceedings. 41st Design Automation Conference, 2004..

Edgar Sánchez-Sinencio, Peng Li, Xin Zhan, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jiang Hu, Zhuo Feng, Ganesh Venkataraman, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wei Dong, Peng Li, Peng Li, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Peng Li, Garng M. Huang, Yenpo Ho, 2010, 2010 International Conference on Communications, Circuits and Systems (ICCCAS).

Zhiyu Zeng, Zhuo Feng, Peng Li, 2010, Design Automation Conference.

Yongtae Kim, Peng Li, Qian Wang, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhuo Feng, Guo Yu, Peng Li, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Sung Kyu Lim, Peng Li, Bon Woong Ku, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Wei Dong, Peng Li, Peng Li, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Wei Dong, Zhuo Feng, Guo Yu, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Peng Li, Wei Dong, Yenpo Ho, 2007, 2007 IEEE International Behavioral Modeling and Simulation Workshop.

Wei Dong, Peng Li, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yangdong Deng, Lawrence T. Pileggi, Peng Li, 2005, 2005 International Conference on Computer Design.

Zhuo Feng, Peng Li, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Lawrence T. Pileggi, Peng Li, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Zhuo Feng, Peng Li, Peng Li, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Peng Li, Xiaoji Ye, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ya Wang, Wei Dong, Ning Dong, 2017, ACM Trans. Design Autom. Electr. Syst..

Lawrence T. Pileggi, Peng Li, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Frank Liu, Peng Li, Xiaoji Ye, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Lawrence T. Pileggi, Xin Li, Peng Li, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Zhuo Feng, Peng Li, 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Qian Wang, Peng Li, Yingyezhe Jin, 2015, 2015 IEEE Biomedical Circuits and Systems Conference (BioCAS).

Zhiyu Zeng, Zhuo Feng, Peng Li, 2011, 2011 12th International Symposium on Quality Electronic Design.

Bin Wu, Nan Liu, Peng Li, 2019, IGARSS 2019 - 2019 IEEE International Geoscience and Remote Sensing Symposium.

Jing Wang, Jia Zhang, Peng Li, 2018, 2018 2nd IEEE Conference on Energy Internet and Energy System Integration (EI2).

Qian Wang, Peng Li, Youjie Li, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Lawrence T. Pileggi, Peng Li, Mehdi Asheghi, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Lei Tan, Fei Tao, Peng Li, 2019, WIREs Data Mining Knowl. Discov..

Rajendran Panda, Min Zhao, Jiang Hu, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Peng Li, Xiaoji Ye, Peng Li, 2009, 2009 10th International Symposium on Quality Electronic Design.

Gwan S. Choi, Qian Wang, Peng Li, 2013, 2013 International Conference on Social Computing.

Peng Li, Qian Wang, Yongtae Kim, 2014, 14th IEEE International Conference on Nanotechnology.

Joseph Riad, Peng Li, Xin Zhan, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Rajendran Panda, Min Zhao, Jiang Hu, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Ya Wang, Peng Li, Hanbin Hu, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Sani R. Nassif, Lawrence T. Pileggi, Xin Li, 2005, Design, Automation and Test in Europe.

Edgar Sánchez-Sinencio, Jianhao Chen, Peng Li, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhiyu Zeng, Zhuo Feng, Tong Xu, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Fengqi You, Diego A. Gómez-Gualdrón, Yongchul G. Chung, 2016, Science Advances.

Jiang Hu, Zhuo Feng, Peng Li, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Zhiyu Zeng, Zhuo Feng, Peng Li, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xin Li, Yang Xu, Lawrence T. Pileggi, 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

Zhuo Feng, Peng Li, Yaping Zhan, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Peng Li, Botang Shao, 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

Zhuo Feng, Peng Li, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

F. Liu, Peng Li, Xiaoji Ye, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Lawrence T. Pileggi, Peng Li, Mehdi Asheghi, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wei Dong, Zhuo Feng, Peng Li, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Lawrence T. Pileggi, Peng Li, 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Lawrence T. Pileggi, Peng Li, 2003, DAC '03.

Lawrence T. Pileggi, Peng Li, Wanju Chiang, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Peng Li, Xiaoji Ye, Srinath Narasimhan, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Wei Dong, Garng M. Huang, Peng Li, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Xiaoqing Zhu, Peng Li, Xiaogang Ruan, 2019, 2019 IEEE 4th Advanced Information Technology, Electronic and Automation Control Conference (IAEAC).

Zhiyu Zeng, Zhuo Feng, Vivek Sarin, 2011, TODE.

Xin Li, Yang Xu, Lawrence T. Pileggi, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Zhuo Feng, Emrah Acar, Peng Li, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Lawrence T. Pileggi, Peng Li, 2003, ASP-DAC '03.

Peng Li, Xiaoji Ye, Yaping Zhan, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Zhuo Feng, Peng Li, Yaping Zhan, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Lawrence T. Pileggi, Peng Li, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Peng Li, Hui Chen, Zhimin Zhao, 2008, International Conference on Optical Instruments and Technology.

Wei Dong, Zhuo Feng, Guo Yu, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sani R. Nassif, Wei Dong, Peng Li, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jiang Hu, Peng Li, Yifang Liu, 2010, ISPD '10.

Peng Li, Ahmad Bashaireh, 2014, 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS).

Edgar Sánchez-Sinencio, Peng Li, Xin Zhan, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Jiang Hu, Zhuo Feng, Ganesh Venkataraman, 2006, ICCAD.

Jiang Hu, Zhuo Feng, Peng Li, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Zhiyu Zeng, Zhuo Feng, Peng Li, 2009, 2009 10th International Symposium on Quality Electronic Design.

Peng Li, Xiaoji Ye, F. Y. Liu, 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yongtae Kim, Qian Wang, Peng Li, 2016, ACM J. Emerg. Technol. Comput. Syst..

Zhuo Feng, Peng Li, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Edgar Sánchez-Sinencio, Ang Li, Peng Li, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Rajendran Panda, Min Zhao, Jiang Hu, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Weiping Shi, Yang Yi, Vivek Sarin, 2006, 2006 IEEE Electrical Performane of Electronic Packaging.

Zhuo Feng, Peng Li, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Xin Li, Yang Xu, Lawrence T. Pileggi, 2003, DAC '03.

Yong Zhang, Yongtae Kim, Peng Li, 2015, ACM J. Emerg. Technol. Comput. Syst..

Xiasheng Guo, Sheng Liu, Francesco Costanzo, 2017, ACS nano.

Peng Li, Jinhui Song, Zhong Lin Wang, 2005, Journal of the American Chemical Society.

Peng Li, Tony Jun Huang, T. Huang, 2018, Analytical chemistry.

Peng Li, Gil U. Lee, Gil U Lee, 2011, Langmuir : the ACS journal of surfaces and colloids.

Peng Li, L. Pileggi, L.T. Pileggi, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Peng Li, William I. Milne, Guofang Zhong, 2011 .

Peng Li, P. Li, Keli Han, 2015, Accounts of chemical research.

Feng Xu, Peng Li, Hengyi Xu, 2013, Journal of nanoscience and nanotechnology.

Yang Xu, Peng Li, Xin Li, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Bin Li, Peng Li, Jun Zhang, 2011, Chemphyschem : a European journal of chemical physics and physical chemistry.

Peng Li, Sung Woo Kim, Guoyao Wu, 2007, British Journal of Nutrition.