Sudhakar Yalamanchili

发表

Subramanian Ramaswamy, Sudhakar Yalamanchili, 2008, HiPC'08.

Sudhakar Yalamanchili, Indrani Paul, Wei Huang, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2003, International Conference on Parallel and Distributed Processing Techniques and Applications.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Sudhakar Yalamanchili, He Xiao, Zhimin Wan, 2013, 19th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC).

Karsten Schwan, Sudhakar Yalamanchili, Daniela Rosu, 1998, Proceedings. Fourth IEEE Real-Time Technology and Applications Symposium (Cat. No.98TB100245).

Sudhakar Yalamanchili, Norman Rubin, Jin Wang, 2016, ISCA.

Sudhakar Yalamanchili, Patrick T. Gaughan, 1995, IEEE Trans. Computers.

Sudhakar Yalamanchili, He Xiao, Saibal Mukhopadhyay, 2015 .

Vishakha Gupta, Sudhakar Yalamanchili, José Duato, 2010 .

Sudhakar Yalamanchili, Arun Rodrigues, Chad D. Kersey, 2012, RAPIDO '12.

Subramanian Ramaswamy, Sudhakar Yalamanchili, S. Yalamanchili, 2008 .

Sudhakar Yalamanchili, Si Li, Haicheng Wu, 2012, Int. J. High Perform. Comput. Appl..

Sudhakar Yalamanchili, Yorai Wardi, Xinwei Chen, 2016, 2016 13th International Workshop on Discrete Event Systems (WODES).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Subho Chatterjee, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Sudhakar Yalamanchili, Syed Minhaj Hassan, S. M. Hassan, 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

Sudhakar Yalamanchili, Mitchelle Rasquinha, Syed Minhaj Hassan, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Sudhakar Yalamanchili, Norman Rubin, Jin Wang, 2014, GPGPU@ASPLOS.

Sudhakar Yalamanchili, Yorai Wardi, William J. Song, 2015, 2015 IEEE Conference on Control Applications (CCA).

Karsten Schwan, Sudhakar Yalamanchili, Daniela Rosu, 1997, Proceedings Real-Time Systems Symposium.

Sudhakar Yalamanchili, Yorai Wardi, Xinwei Chen, 2017, Discrete Event Dynamic Systems.

Holger Fröning, Sudhakar Yalamanchili, Federico Silla, 2010 .

Sudhakar Yalamanchili, Indrani Paul, Manish Arora, 2013, ISCA.

Sudhakar Yalamanchili, Wonyong Sung, Hyesoon Kim, 2014, TODE.

Sudhakar Yalamanchili, Si Li, Naila Farooqui, 2013 .

Sudhakar Yalamanchili, Jeffrey Young, Jeffrey M. Young, 2009 .

Sudhakar Yalamanchili, Ajay Mohindra, 1992, Proceedings Sixth International Parallel Processing Symposium.

Sudhakar Yalamanchili, S. Yalamanchili, 2011, Encyclopedia of Parallel Computing.

Sudhakar Yalamanchili, 1997 .

Sudhakar Yalamanchili, Eric Anger, Jeremiah J. Wilke, 2016, 2016 4th International Workshop on Energy Efficient Supercomputing (E2SC).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Arun Rodrigues, 2013 .

Sudhakar Yalamanchili, Haicheng Wu, Molham Aref, 2014, ADMS@VLDB.

Sudhakar Yalamanchili, Norman Rubin, Albert Sidelnik, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Sudhakar Yalamanchili, P. W. Flur, J. B. Lockhart, 1996 .

Sudhakar Yalamanchili, James O. Hamblen, H. L. Owen, 1995, Proceedings Frontiers in Education 1995 25th Annual Conference. Engineering Education for the 21st Century.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2015, 2015 IEEE International Reliability Physics Symposium.

Sudhakar Yalamanchili, Federico Silla, José Duato, 2008 .

Karsten Schwan, Sudhakar Yalamanchili, Richard West, 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Sudhakar Yalamanchili, Srihari Cadambi, Haicheng Wu, 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

Sudhakar Yalamanchili, Worthy N. Martin, Jake K. Aggarwal, 1980 .

Karsten Schwan, Sudhakar Yalamanchili, Richard West, 2003, Proceedings International Parallel and Distributed Processing Symposium.

Sudhakar Yalamanchili, Todd Carpenter, S. Yalamanchili, 1996, International Journal in Computer Simulation.

Sudhakar Yalamanchili, Indrani Paul, Manish Arora, 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

Subramanian Ramaswamy, Sudhakar Yalamanchili, Krishna V. Palem, 2006, SIGARCH Comput. Archit. News.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2001, Proceedings 15th International Parallel and Distributed Processing Symposium. IPDPS 2001.

Sudhakar Yalamanchili, He Xiao, Saibal Mukhopadhyay, 2016, IEEE Computer Architecture Letters.

Sudhakar Yalamanchili, Yorai Wardi, William J. Song, 2012, 2012 IEEE 51st IEEE Conference on Decision and Control (CDC).

Sudhakar Yalamanchili, C. Dislis, V. Garg, 1997 .

Sudhakar Yalamanchili, 2002, HiPC.

Sudhakar Yalamanchili, Si Li, Hyesoon Kim, 2013, ACM Trans. Design Autom. Electr. Syst..

Sudhakar Yalamanchili, S. Yalamanchili, 2011, Encyclopedia of Parallel Computing.

Sudhakar Yalamanchili, Syed Minhaj Hassan, 2013 .

Sudhakar Yalamanchili, Hatem Sellami, 1993, Proceedings of 1993 5th IEEE Symposium on Parallel and Distributed Processing.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 1999, CANPC.

Sudhakar Yalamanchili, Andrew Kerr, Haicheng Wu, 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jun Wang, Sudhakar Yalamanchili, Jesse G. Beu, 2012, 2012 SC Companion: High Performance Computing, Networking Storage and Analysis.

Karsten Schwan, Sudhakar Yalamanchili, Naila Farooqui, 2014, GPGPU@ASPLOS.

Karsten Schwan, Sudhakar Yalamanchili, Jeffrey S. Vetter, 2011, Computing in Science & Engineering.

Subramanian Ramaswamy, Sudhakar Yalamanchili, 2007, 2007 25th International Conference on Computer Design.

Sudhakar Yalamanchili, P. W. Flur, J. B. Lockhart, 1995, Proceedings Frontiers in Education 1995 25th Annual Conference. Engineering Education for the 21st Century.

Sudhakar Yalamanchili, Edward Omiecinski, Eileen Tien Lin, 1992, Fifth Generation Computer Systems.

Sudhakar Yalamanchili, Patrick T. Gaughan, 1992, [1992] Proceedings of the Fourth IEEE Symposium on Parallel and Distributed Processing.

Sudhakar Yalamanchili, Bahar Asgari, Ramyad Hadidi, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Sek M. Chai, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Sudhakar Yalamanchili, Jeffrey S. Young, S. Yalamanchili, 2010, International Conference on Green Computing.

Sudhakar Yalamanchili, Yorai Wardi, William J. Song, 2012, 2012 American Control Conference (ACC).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 1999, Proceedings Fifth International Symposium on High-Performance Computer Architecture.

Jun Wang, Zhenjiang Dong, Sudhakar Yalamanchili, 2016, TOMC.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2002, 2002 IEEE Workshop on Multimedia Signal Processing..

Sudhakar Yalamanchili, Craig Ulmer, S. Yalamanchili, 2000, PDPTA.

Sudhakar Yalamanchili, José Duato, Indrani Paul, 2002, HiPC.

Sudhakar Yalamanchili, David E. Schimmel, Sek M. Chai, 1997, PCRCW.

Karsten Schwan, Sudhakar Yalamanchili, Andrew Kerr, 2011, GPGPU-4.

Karsten Schwan, Sudhakar Yalamanchili, Marcel-Catalin Rosu, 1999, Proceedings. Eighth Heterogeneous Computing Workshop (HCW'99).

Sudhakar Yalamanchili, Andrew Kerr, Gregory Diamos, 2012 .

Sudhakar Yalamanchili, José Duato, J. Duato, 2000, Lecture Notes in Computer Science.

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1984, Pattern Recognit. Lett..

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Syed Minhaj Hassan, 2015, MEMSYS.

Sudhakar Yalamanchili, Haicheng Wu, Molham Aref, 2016, GPGPU@PPoPP.

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1987, IEEE Transactions on Computers.

Sudhakar Yalamanchili, Andrew Kerr, Gregory Frederick Diamos, 2012, CGO '12.

Sudhakar Yalamanchili, Hsien-Hsin S. Lee, D. L. Lewis, 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

Sudhakar Yalamanchili, Jeffrey S. Young, 2012, 2012 IEEE 14th International Conference on High Performance Computing and Communication & 2012 IEEE 9th International Conference on Embedded Software and Systems.

Sudhakar Yalamanchili, S. Yalamanchili, 1991 .

Sudhakar Yalamanchili, Michael Garland, Tim Sheard, 2014, CGO '14.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2016, 2016 IEEE International Reliability Physics Symposium (IRPS).

Sudhakar Yalamanchili, Yorai Wardi, Nawaf I. Almoosa, 2010, IEEE ICCA 2010.

Sudhakar Yalamanchili, Gregory Diamos, Haicheng Wu, 2011 .

Sudhakar Yalamanchili, He Xiao, Yogendra Joshi, 2013 .

Sudhakar Yalamanchili, Bahar Asgari, Saibal Mukhopadhyay, 2018, ArXiv.

Jun Wang, Zhenjiang Dong, Sudhakar Yalamanchili, 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Sudhakar Yalamanchili, Si Li, Vilas Sridharan, 2016, 2016 IEEE International Reliability Physics Symposium (IRPS).

Young-Joo Suh, Sudhakar Yalamanchili, José Duato, 1995, ICPP.

Sudhakar Yalamanchili, X. Chen, Yorai Wardi, 2015, 2015 IEEE 22nd International Conference on High Performance Computing (HiPC).

Holger Fröning, Karsten Schwan, Sudhakar Yalamanchili, 2013, 2013 IEEE International Conference on Cluster Computing (CLUSTER).

Sudhakar Yalamanchili, Asif Islam Khan, Saibal Mukhopadhyay, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Sudhakar Yalamanchili, Craig Ulmer, 2002, PDPTA.

Subramanian Ramaswamy, Sudhakar Yalamanchili, S. Yalamanchili, 2006, 2006 International Conference on Computer Design.

Sudhakar Yalamanchili, D. E. Schimmel, J. D. Allen, 1994, Proceedings of 21 International Symposium on Computer Architecture.

Young-Joo Suh, Sudhakar Yalamanchili, 2000, IEEE Trans. Parallel Distributed Syst..

Sudhakar Yalamanchili, Syed Minhaj Hassan, S. M. Hassan, 2016, MEMSYS.

Sudhakar Yalamanchili, Hyojong Kim, Hyesoon Kim, 2014, 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.

Sudhakar Yalamanchili, X. Chen, Yorai Wardi, 2017, 2017 IEEE 56th Annual Conference on Decision and Control (CDC).

Sudhakar Yalamanchili, Haicheng Wu, Jeffrey S. Young, 2012, 2012 SC Companion: High Performance Computing, Networking Storage and Analysis.

Sudhakar Yalamanchili, Jeffrey Young, Ifrah Saeed, 2015 .

Sudhakar Yalamanchili, James O. Hamblen, H. L. Owen, 1999 .

Sudhakar Yalamanchili, Philip R. Bingham, Cecil O. Alford, 1999, Proceedings 1999 IEEE International Conference on Microelectronic Systems Education (MSE'99) 'Systems Education in the 21st Century' (Cat. No.99-63794).

Jake K. Aggarwal, Sudhakar Yalamanchili, 1984, 1984 IEEE First International Conference on Data Engineering.

Sudhakar Yalamanchili, José Duato, Binh Vien Dao, 1997, Proceedings Third International Symposium on High-Performance Computer Architecture.

Sudhakar Yalamanchili, José Duato, Binh Vien Dao, 1995, ISCA.

Sudhakar Yalamanchili, Andrew Kerr, Gregory Frederick Diamos, 2010, GPGPU-3.

Sudhakar Yalamanchili, Andrew Kerr, Gilbert Hendry, 2012, 2012 19th International Conference on High Performance Computing.

Karsten Schwan, Sudhakar Yalamanchili, Richard West, 2002, Proceedings 10th Symposium on High Performance Interconnects.

Karsten Schwan, Sudhakar Yalamanchili, Greg Eisenhauer, 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2000, Proceedings 14th International Parallel and Distributed Processing Symposium. IPDPS 2000.

Scott Pakin, Sudhakar Yalamanchili, Patrick S. McCormick, 2014, 2014 LLVM Compiler Infrastructure in HPC.

Lizy Kurian John, Sudhakar Yalamanchili, Indrani Paul, 2012, 2012 IEEE 31st International Performance Computing and Communications Conference (IPCCC).

Sudhakar Yalamanchili, Craig Ulmer, D. Scott Wills, 1996, Proceedings ED&TC European Design and Test Conference.

Sudhakar Yalamanchili, Hyojong Kim, Hyesoon Kim, 2014, FCCM 2014.

Sudhakar Yalamanchili, Srihari Cadambi, Srimat T. Chakradhar, 2012, 2012 IEEE 26th International Parallel and Distributed Processing Symposium Workshops & PhD Forum.

Sudhakar Yalamanchili, Hatem Sellami, 1995, TOMC.

Sudhakar Yalamanchili, Arun Rodrigues, Hyojong Kim, 2015, MEMSYS.

Sudhakar Yalamanchili, Haicheng Wu, Norman Rubin, 2013, GPGPU@ASPLOS.

Sudhakar Yalamanchili, Krishna V. Palem, Lakshmi N. Chakrapani, 2004, ASIAN.

Torsten Hoefler, Sudhakar Yalamanchili, Rachata Ausavarungnirun, 2018, ASPLOS.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Subho Chatterjee, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2006, J. Parallel Distributed Comput..

Sudhakar Yalamanchili, James O. Hamblen, Henry Owen, 1996, WCAE-2 '96.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Sudhakar Yalamanchili, Andrew Kerr, Nathan Clark, 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Jun Wang, Zhenjiang Dong, Sudhakar Yalamanchili, 2014, SimuTools.

Sudhakar Yalamanchili, Bahar Asgari, Ramyad Hadidi, 2019, IEEE Micro.

Sudhakar Yalamanchili, Indrani Paul, Manish Arora, 2014, Sci. Program..

Sudhakar Yalamanchili, José Duato, Lionel M. Ni, 2002 .

Jun Wang, Zhenjiang Dong, Sudhakar Yalamanchili, 2013, SIGSIM PADS '13.

Jack Dongarra, Ada Gavrilovska, Karsten Schwan, 2017 .

Sudhakar Yalamanchili, David E. Schimmel, Patrick T. Gaughan, 1994, ISCA '94.

Sudhakar Yalamanchili, Patrick T. Gaughan, P. T. Gaughan, 1995, IEEE Trans. Parallel Distributed Syst..

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1985, Pattern Recognit..

Ian F. Akyildiz, Sudhakar Yalamanchili, Hari Lalgudi, 1996, IEEE Trans. Computers.

Subramanian Ramaswamy, Sudhakar Yalamanchili, S. Yalamanchili, 2007 .

Sudhakar Yalamanchili, Gilbert Hendry, Damian Dechev, 2015, 2015 IEEE 17th International Conference on High Performance Computing and Communications, 2015 IEEE 7th International Symposium on Cyberspace Safety and Security, and 2015 IEEE 12th International Conference on Embedded Software and Systems.

Sudhakar Yalamanchili, Bahar Asgari, Ramyad Hadidi, 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

Sudhakar Yalamanchili, Federico Silla, José Duato, 2009 .

Sudhakar Yalamanchili, Christopher H. de Castro, S. Yalamanchili, 1994, International Journal in Computer Simulation.

Sudhakar Yalamanchili, Hyesoon Kim, Chad D. Kersey, 2017, MEMSYS.

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1985, Pattern Recognit..

Sudhakar Yalamanchili, Blaise-Pascal Tine, 2017, MEMSYS '17.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Sek M. Chai, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Subramanian Ramaswamy, Sudhakar Yalamanchili, 2007, ARCS.

Sudhakar Yalamanchili, David E. Schimmel, Sek M. Chai, 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

Young-Joo Suh, Sudhakar Yalamanchili, José Duato, 2000, IEEE Trans. Parallel Distributed Syst..

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Taesik Na, 2017, ArXiv.

Young-Joo Suh, Sudhakar Yalamanchili, 1996, Proceedings of International Conference on Parallel Processing.

Ada Gavrilovska, Karsten Schwan, Sudhakar Yalamanchili, 2010, ARCS.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2001, ICN.

Sudhakar Yalamanchili, Jin Wang, S. Yalamanchili, 2014, 2014 IEEE International Symposium on Workload Characterization (IISWC).

Sudhakar Yalamanchili, David L. Perschbacher, Lynn E. Te Winkel, 1995, Concurr. Pract. Exp..

Jake K. Aggarwal, Sudhakar Yalamanchili, J. Aggarwal, 1985, Computer.

Sudhakar Yalamanchili, He Xiao, Saibal Mukhopadhyay, 2017, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Pedro López, Sudhakar Yalamanchili, Federico Silla, 1996, Proceedings of the 1996 ICPP Workshop on Challenges for Parallel Processing.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Subho Chatterjee, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Sudhakar Yalamanchili, Hatem Sellami, 1995, Proceedings of 9th International Parallel Processing Symposium.

Sudhakar Yalamanchili, Andrew Kerr, Gregory Frederick Diamos, 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).

Karsten Schwan, Sudhakar Yalamanchili, Emily Angerer Crawford, 1996, Proceedings of SPDP '96: 8th IEEE Symposium on Parallel and Distributed Processing.

Sudhakar Yalamanchili, David E. Schimmel, James D. Allen, 1994, Proceedings of International Workshop on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.

Sudhakar Yalamanchili, Patrick T. Gaughan, 1993, Computer.

Sudhakar Yalamanchili, Carla Seatzu, Yorai Wardi, 2016, 1601.03799.

Jun Wang, Zhenjiang Dong, Sudhakar Yalamanchili, 2013, 2013 IEEE 21st International Symposium on Modelling, Analysis and Simulation of Computer and Telecommunication Systems.

Sudhakar Yalamanchili, Gregory Frederick Diamos, G. Diamos, 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Arun Rodrigues, 2012, SimuTools.

Jake K. Aggarwal, Sudhakar Yalamanchili, Miroslaw Malek, 1984, Computer.

Sudhakar Yalamanchili, José Duato, Binh Vien Dao, 1999, IEEE Trans. Parallel Distributed Syst..

Sudhakar Yalamanchili, Hyesoon Kim, Chad D. Kersey, 2015, MEMSYS.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2002, Proceedings 16th International Parallel and Distributed Processing Symposium.

Jun Wang, Sudhakar Yalamanchili, Yorai Wardi, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Sudhakar Yalamanchili, Haicheng Wu, Ashwin Lele, 2013, PPoPP '13.

Sudhakar Yalamanchili, Indrani Paul, Adam McLaughlin, 2014 .

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2003, Proceedings International Parallel and Distributed Processing Symposium.

Satish Kumar, Sudhakar Yalamanchili, Saibal Mukhopadhyay, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sudhakar Yalamanchili, Steven D. Young, 1991, Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Taesik Na, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Sudhakar Yalamanchili, José Duato, Francisco J. Quiles, 2005, IEEE Transactions on Parallel and Distributed Systems.

Sudhakar Yalamanchili, He Xiao, Zhimin Wan, 2014, 2014 Semiconductor Thermal Measurement and Management Symposium (SEMI-THERM).

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Jaeha Kung, 2013, 2013 IEEE 22nd Conference on Electrical Performance of Electronic Packaging and Systems.

Sudhakar Yalamanchili, Si Li, Hyesoon Kim, 2013, J. Parallel Distributed Comput..

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2015, IEEE Computer Architecture Letters.

Pedro López, Sudhakar Yalamanchili, José Duato, 1997, Proceedings 11th International Parallel Processing Symposium.

Sudhakar Yalamanchili, Bahar Asgari, Ramyad Hadidi, 2021, IEEE Transactions on Computers.

Sudhakar Yalamanchili, He Xiao, Monodeep Kar, 2021, 2021 13th International Conference on Computer and Automation Engineering (ICCAE).

Sudhakar Yalamanchili, Gregory Diamos, Andrew Kerr, 2009 .

Sudhakar Yalamanchili, Krishna V. Palem, Tushar Kumar, 2003 .

Sudhakar Yalamanchili, Syed Minhaj Hassan, 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

Ada Gavrilovska, Karsten Schwan, Sudhakar Yalamanchili, 2008, 2008 16th International Symposium on Field-Programmable Custom Computing Machines.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, Jaeha Kung, 2015, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Sudhakar Yalamanchili, Saibal Mukhopadhyay, William J. Song, 2012 .