H. S. Lee

发表

H. S. Lee, J. S. Won, K. S. Park, 2017, 2017 4th International Conference on Systems and Informatics (ICSAI).

H. S. Lee, Beom Hee Lee, Jung H. Oh, 2015, 2015 IEEE 20th Conference on Emerging Technologies & Factory Automation (ETFA).

Massimo Martorelli, H. S. Lee, H. S. Lee, 2002, Comput. Aided Des..

John S. Gero, H. S. Lee, H. S. Lee, 1992 .

H. S. Lee, Roger D. Braddock, C. J. Matthews, 2004, Environ. Model. Softw..

H. S. Lee, R. C. Chang, 1992, Inf. Process. Lett..

H. S. Lee, Beom Hee Lee, J. D. Jeon, 2015, 2015 IEEE International Conference on Robotics and Automation (ICRA).

H. S. Lee, H. S. Lee, H. K. Son, 2002, Vehicular Technology Conference. IEEE 55th Vehicular Technology Conference. VTC Spring 2002 (Cat. No.02CH37367).

Tack-Don Han, Sung-Ho Woo, Sung-Bong Yang, 1997, International Conference on Parallel and Distributed Processing Techniques and Applications.

H. S. Lee, R. C. Chang, 1992, Int. J. Comput. Math..