Kaveh Aasaraai

发表

Di Wu, Andreas Moshovos, Kaveh Aasaraai, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Andreas Moshovos, Kaveh Aasaraai, 2014, 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig14).

Amirali Baniasadi, Ehsan Atoofian, Kaveh Aasaraai, 2007, CF '07.

Andreas Moshovos, Kaveh Aasaraai, 2010, 2010 International Conference on Field-Programmable Technology.

Amirali Baniasadi, Kaveh Aasaraai, A. Baniasadi, 2007, Asia-Pacific Computer Systems Architecture Conference.

Amirali Baniasadi, Ehsan Atoofian, Kaveh Aasaraai, 2007, J. Low Power Electron..

Andreas Moshovos, Kaveh Aasaraai, Maryam Sadooghi-Alvandi, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Viktor K. Prasanna, Wayne Luk, Jürgen Becker, 2018, 2018 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).

Amirali Baniasadi, Kaveh Aasaraai, 2006, J. Low Power Electron..

Andreas Moshovos, Kaveh Aasaraai, Andreas Moshovos, 2010, 2010 International Conference on Reconfigurable Computing and FPGAs.

Andreas Moshovos, Kaveh Aasaraai, Andreas Moshovos, 2012, 2012 International Conference on Reconfigurable Computing and FPGAs.

Andreas Moshovos, Kaveh Aasaraai, Andreas Moshovos, 2012, Int. J. Reconfigurable Comput..

Andreas Moshovos, Kaveh Aasaraai, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Andreas Moshovos, Kaveh Aasaraai, 2014, 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.

Monia Ghobadi, J. Gregory Steffan, Kaveh Aasaraai, 2010, SIGCOMM '10.