Avijit Dutta

发表

Kan Yasuda, Nilanjan Datta, Mridul Nandi, 2018, IACR Cryptol. ePrint Arch..

Nur A. Touba, Avijit Dutta, 2006, 2006 IEEE International Test Conference.

Mridul Nandi, Avijit Dutta, Suprita Talnikar, 2019, IACR Cryptol. ePrint Arch..

Goutam Paul, Nilanjan Datta, Mridul Nandi, 2018, IACR Cryptol. ePrint Arch..

Avijit Dutta, Vinay Kumar, 2012 .

Mridul Nandi, Avijit Dutta, M. Nandi, 2018, INDOCRYPT.

Nur A. Touba, Avijit Dutta, N. Touba, 2006, 24th IEEE VLSI Test Symposium.

Goutam Paul, Avijit Dutta, 2015, IACR Cryptol. ePrint Arch..

Wu-Tung Cheng, Manish Sharma, Avijit Dutta, 2011, 2011 IEEE International Test Conference.

Nur A. Touba, Avijit Dutta, 2007, 25th IEEE VLSI Test Symposium (VTS'07).

Avijit Dutta, A. Dutta, 2012, 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC).

Nicky Mouha, Nilanjan Datta, Mridul Nandi, 2017, ASIACRYPT.

Avijit Dutta, Goutam Paul, 2015, IACR Cryptol. ePrint Arch..

Nur A. Touba, Avijit Dutta, 2007, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007).

Goutam Paul, Avijit Dutta, 2014, IWSEC.

Nilanjan Mukherjee, Janusz Rajski, Jerzy Tyszer, 2011, 2011 IEEE International Test Conference.

Ashwin Jha, Mridul Nandi, Avijit Dutta, 2017, IEEE Transactions on Computers.

Kan Yasuda, Nilanjan Datta, Mridul Nandi, 2019, Adv. Math. Commun..

Avijit Dutta, Abhijit Jas, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Nur A. Touba, Avijit Dutta, Terence Rodrigues, 2005, IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI'05).

Ashwin Jha, Mridul Nandi, Avijit Dutta, 2017, IACR Trans. Symmetric Cryptol..

Ashwin Jha, Mridul Nandi, Avijit Dutta, 2016, IACR Cryptol. ePrint Arch..

Goutam Paul, Nilanjan Datta, Mridul Nandi, 2017, IACR Trans. Symmetric Cryptol..

Goutam Paul, Nilanjan Datta, Mridul Nandi, 2015, IACR Cryptol. ePrint Arch..

Donghoon Chang, Bart Mennink, Nilanjan Datta, 2020, IACR Cryptol. ePrint Arch..

Goutam Paul, Mridul Nandi, Avijit Dutta, 2016, ACISP.

Nur A. Touba, Avijit Dutta, 2005, IEEE International Conference on Test, 2005..

Avijit Dutta, Neil Tuttle, Krishnan Anandh, 2013, International Symposium on Quality Electronic Design (ISQED).

David Z. Pan, Avijit Dutta, D. Pan, 2006, 2006 International Conference on Computer Design.

Nur A. Touba, Avijit Dutta, 2006, 2006 21st IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Debrup Chakraborty, Avijit Dutta, Samir Kundu, 2021, IACR Cryptol. ePrint Arch..

Avijit Dutta, Nilanjan Datta, Kushankur Dutta, 2021, IACR Cryptol. ePrint Arch..

Avijit Dutta, Nilanjan Datta, Kushankur Dutta, 2021, IACR Trans. Symmetric Cryptol..

Mridul Nandi, Avijit Dutta, Suprita Talnikar, 2021, IACR Cryptol. ePrint Arch..

Goutam Paul, Mridul Nandi, Avijit Dutta, 2016 .