Dimitris Nikolos

发表

Dimitris Nikolos, Nikolaos Gaitanis, George Philokyprou, 1984, Fehlertolerierende Rechensysteme.

Giorgos Dimitrakopoulos, Haridimos T. Vergos, Costas Efstathiou, 2005, 2005 12th IEEE International Conference on Electronics, Circuits and Systems.

Haridimos T. Vergos, Dimitris Nikolos, 1996, EDCC.

Haridimos T. Vergos, Dimitris Nikolos, Petros Mitsiadis, 1997 .

Themistoklis Haniotakis, Constantin Halatsis, Ioannis Voyiatzis, 1995, Proceedings of the Fourth Asian Test Symposium.

Spyros Tragoudas, Dimitris Nikolos, Dimitris Bakalis, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Giorgos Dimitrakopoulos, Haridimos T. Vergos, Costas Efstathiou, 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

Yiorgos Tsiatouhas, Dimitris Nikolos, Antonis Paschalis, 1995 .

Dimitris Nikolos, Nikolaos Gaitanis, George Philokyprou, 1984, IEEE Transactions on Computers.

Constantin Halatsis, Antonis M. Paschalis, Dimitris Nikolos, 1988, IEEE Trans. Computers.

Haridimos T. Vergos, Dimitris Nikolos, Maciej Bellos, 1999, EDCC.

Dimitris Nikolos, Panagiotis Sismanoglou, 2013, 2013 IEEE 20th International Conference on Electronics, Circuits, and Systems (ICECS).

Dimitris Nikolos, Panagiotis Sismanoglou, 2016, 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS).

Giorgos Dimitrakopoulos, Dimitris Nikolos, Christos Mavrokefalidis, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Georgios Keramidas, Dimitris Nikolos, Michail Mavropoulos, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Haridimos T. Vergos, 2000, 2000 Southwest Symposium on Mixed-Signal Design (Cat. No.00EX390).

Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos, 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

Haridimos T. Vergos, Dimitris Nikolos, Costas Efstathiou, 2001, ICECS.

Dimitris Nikolos, Antonis Paschalis, 1995 .

Dimitris Nikolos, Panagiotis Sismanoglou, Vlasis Pitsios, 2015, Sixteenth International Symposium on Quality Electronic Design.

Yiorgos Tsiatouhas, Dimitris Nikolos, Th. Haniotakis, 2000 .

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Angela Arapoyanni, 2000, Proceedings 2000 IEEE International Workshop on Defect Based Testing (Cat. No.PR00637).

Dimitris Nikolos, Xrysovalantis Kavousianos, 1998, Proceedings. 16th IEEE VLSI Test Symposium (Cat. No.98TB100231).

Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos, 2001, Proceedings 15th IEEE Symposium on Computer Arithmetic. ARITH-15 2001.

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Angela Arapoyanni, 2002, Proceedings International Symposium on Quality Electronic Design.

Dimitris Nikolos, Panagiotis Sismanoglou, Vlasis Pitsios, 2015 .

Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos, 2003, IEEE Trans. Computers.

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Dimitris Nikolos, Dimitris Bakalis, Maciej Bellos, 2004, IEEE Computer Society Annual Symposium on VLSI.

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Dimitris Nikolos, Xrysovalantis Kavousianos, G. Foukarakis, 1999, Integr..

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2005, Sixth international symposium on quality electronic design (isqed'05).

Constantin Halatsis, Ioannis Voyiatzis, Antonis M. Paschalis, 1996, J. Electron. Test..

Constantin Halatsis, Antonis M. Paschalis, Dimitris Nikolos, 1986, Aegean Workshop on Computing.

Dimitris Nikolos, 1998, J. Electron. Test..

Giorgos Dimitrakopoulos, Haridimos T. Vergos, Costas Efstathiou, 2003, 10th IEEE International Conference on Electronics, Circuits and Systems, 2003. ICECS 2003. Proceedings of the 2003.

Yiorgos Tsiatouhas, Angela Arapoyanni, Dimitris Nikolos, 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).

Dimitris Nikolos, Xrysovalantis Kavousianos, 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).

Haridimos T. Vergos, Dimitris Nikolos, Dimitris Bakalis, 2001, Proceedings of the IEEE 2001. 2nd International Symposium on Quality Electronic Design.

Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos, 2002, IEEE Trans. Computers.

Dimitris Gizopoulos, Antonis M. Paschalis, Dimitris Nikolos, 1995, Proceedings 13th IEEE VLSI Test Symposium.

George Alexiou, Dimitris Nikolos, D. Lymperopoulos, 2001, Proceedings 12th International Workshop on Rapid System Prototyping. RSP 2001.

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Dimitris Nikolos, Dimitris Bakalis, Xrysovalantis Kavousianos, 2009, TODE.

Giorgos Dimitrakopoulos, Dimitris Nikolos, Christos Mavrokefalidis, 2006, 2006 IEEE International Symposium on Circuits and Systems.

Spyros Tragoudas, Dimitris Nikolos, Xrysovalantis Kavousianos, 1999, 1999 Southwest Symposium on Mixed-Signal Design (Cat. No.99EX286).

Dimitris Gizopoulos, Dimitris Nikolos, Antonis Paschalis, 1994 .

Giorgos Dimitrakopoulos, Dimitris Nikolos, Dimitris Bakalis, 2002, Proceedings of the Eighth IEEE International On-Line Testing Workshop (IOLTW 2002).

Dimitris Nikolos, Antonis Paschalis, Panos Kostarakis, 1987 .

Emmanouil Kalligeros, Dimitris Nikolos, Dimitris Bakalis, 2001, Proceedings Seventh International On-Line Testing Workshop.

Emmanouil Kalligeros, Dimitris Nikolos, Dimitris Bakalis, 2002, J. Electron. Test..

Dimitris Nikolos, Dimitrios Kagaris, P. Karpodinis, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Constantin Halatsis, Ioannis Voyiatzis, Antonis M. Paschalis, 1995, Proceedings the European Design and Test Conference. ED&TC 1995.

Costas Efstathiou, Dimitris Nikolos, John Kalamatianos, 1994 .

Giorgos Dimitrakopoulos, Dimitris Nikolos, Christos Mavrokefalidis, 2006, IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06).

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Costas Efstathiou, 2001, Proceedings Seventh International On-Line Testing Workshop.

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Costas Efstathiou, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Giorgos Dimitrakopoulos, Dimitris Nikolos, Christos Mavrokefalidis, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dimitris Nikolos, Dimitrios Kagaris, Spyros Gidaros, 2009, IEEE Transactions on Computers.

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Angela Arapoyanni, 2002, Proceedings of the Eighth IEEE International On-Line Testing Workshop (IOLTW 2002).

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Haridimos T. Vergos, 1999, DATE '99.

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos, 2003, 9th IEEE On-Line Testing Symposium, 2003. IOLTS 2003..

Giorgos Dimitrakopoulos, Dimitris Nikolos, P. Kolovos, 2004, PATMOS.

Emmanouil Kalligeros, Haridimos T. Vergos, George Alexiou, 2002, J. Syst. Archit..

Yiorgos Tsiatouhas, Costas Efstathiou, Dimitris Nikolos, 2003 .

John P. Hayes, Cecilia Metra, Michael Nicolaidis, 2002, J. Electron. Test..

Dimitris Nikolos, Panagiotis Sismanoglou, 2011, 2011 18th IEEE International Conference on Electronics, Circuits, and Systems.

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dimitris Nikolos, Dimitris Bakalis, Xrysovalantis Kavousianos, 2004, IEEE Computer Society Annual Symposium on VLSI.

Haridimos T. Vergos, Dimitris Nikolos, C. Ninos, 1999, VLSI.

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Dimitris Nikolos, 1998, Proceedings 1998 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (Cat. No.98EX223).

Dimitris Nikolos, 1998, IEEE Trans. Computers.

Dimitris Nikolos, Dimitrios Kagaris, Xrysovalantis Kavousianos, 2003, VLSI-SOC.

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Michael Nicolaidis, 1999, Proceedings Ninth Great Lakes Symposium on VLSI.

Haridimos T. Vergos, Dimitris Nikolos, Spyros Voulgaris, 1996, Proceedings. 1996 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Constantin Halatsis, Dimitris Gizopoulos, Antonis M. Paschalis, 1996, J. Electron. Test..

Dimitris Gizopoulos, Dimitris Nikolos, Antonis Paschalis, 1994, Proceedings of IEEE 3rd Asian Test Symposium (ATS).

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2003, GLSVLSI '03.

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2002, Proceedings of the 11th Asian Test Symposium, 2002. (ATS '02)..

Georgios Keramidas, Dimitris Nikolos, Michail Mavropoulos, 2016, 2016 IEEE 22nd International Symposium on On-Line Testing and Robust System Design (IOLTS).

Michael Nicolaidis, Dimitris Nikolos, M. Sonza Reorda, 1997 .

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Angela Arapoyanni, 2000, DATE '00.

Dimitris Nikolos, Dimitrios Kagaris, Maciej Bellos, 2003, IEEE Computer Society Annual Symposium on VLSI, 2003. Proceedings..

Giorgos Dimitrakopoulos, Dimitris Nikolos, Xrysovalantis Kavousianos, 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

Dimitris Nikolos, Dimitrios Kagaris, Maciej Bellos, 2002, EDCC.

Georgios Keramidas, Dimitris Nikolos, Michail Mavropoulos, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Dimitris Nikolos, Dimitrios Kagaris, P. Karpodinis, 2004, Proceedings. 10th IEEE International On-Line Testing Symposium.

Haridimos T. Vergos, George Alexiou, Dimitris Nikolos, 1999, Proceedings 1999 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (EFT'99).

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2007, IEEE Transactions on Computers.

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2006, 7th International Symposium on Quality Electronic Design (ISQED'06).

Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos, 2004, IEEE Transactions on Computers.

Dimitris Nikolos, Vassilis Komis, Anastasia Misirli, 2020 .

Dimitris Gizopoulos, Antonis M. Paschalis, Dimitris Nikolos, 1996, Integr..

Giorgos Dimitrakopoulos, Dimitris Nikolos, 2005, IEEE Transactions on Computers.

Haridimos T. Vergos, Costas Efstathiou, Dimitris Nikolos, 2000, IEEE Trans. Computers.

Constantin Halatsis, Ioannis Voyiatzis, Antonis M. Paschalis, 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

Dimitris Nikolos, Panagiotis Sismanoglou, 2016, 2016 17th International Symposium on Quality Electronic Design (ISQED).

Themistoklis Haniotakis, Antonis M. Paschalis, Dimitris Nikolos, 1995, IEEE Trans. Computers.

Georgios Keramidas, Dimitris Nikolos, Michail Mavropoulos, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Emmanouil Kalligeros, Dimitris Nikolos, Xrysovalantis Kavousianos, 2005, 2005 12th IEEE International Conference on Electronics, Circuits and Systems.

Dimitris Nikolos, Xrysovalantis Kavousianos, G. Sidiropoulos, 2000, VLSI Design.

Constantin Halatsis, Ioannis Voyiatzis, Antonis M. Paschalis, 1999, J. Electron. Test..

Giorgos Dimitrakopoulos, Haridimos T. Vergos, Costas Efstathiou, 2005, IEEE Transactions on Computers.

Haridimos T. Vergos, Dimitris Nikolos, M. Bellos, 1999, ICECS'99. Proceedings of ICECS '99. 6th IEEE International Conference on Electronics, Circuits and Systems (Cat. No.99EX357).

Dimitris Nikolos, Dimitris Bakalis, Xrysovalantis Kavousianos, 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

Vassilios V. Dimakopoulos, Antonis M. Paschalis, Dimitris Nikolos, 1995, IEEE Trans. Computers.

Dimitris Nikolos, Panagiotis Sismanoglou, 2014, 17th International Symposium on Design and Diagnostics of Electronic Circuits & Systems.

Dimitris Nikolos, Dimitris Bakalis, Xrysovalantis Kavousianos, 2001, GLSVLSI '01.

Giorgos Dimitrakopoulos, Haridimos T. Vergos, Costas Efstathiou, 2003, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003.

Giorgos Dimitrakopoulos, Dimitris Nikolos, 2005, PATMOS.

Yiorgos Tsiatouhas, Angela Arapoyanni, Dimitris Nikolos, 2002, Integr..

Dimitris Nikolos, Panagiotis Sismanoglou, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Emmanouil Kalligeros, Dimitris Nikolos, Dimitris Bakalis, 2002, Proceedings International Symposium on Quality Electronic Design.

George Alexiou, Dimitris Nikolos, Kostas Adaos, 1999, Proceedings Tenth IEEE International Workshop on Rapid System Prototyping. Shortening the Path from Specification to Prototype (Cat. No.PR00246).

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Dimitris Nikolos, 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

Georgios Keramidas, Dimitris Nikolos, Michail Mavropoulos, 2015, EDCC 2015.

Georgios Keramidas, Dimitris Nikolos, Michail Mavropoulos, 2015, ACM Great Lakes Symposium on VLSI.

Emmanouil Kalligeros, Haridimos T. Vergos, George Alexiou, 2000, Proceedings IEEE 2000 First International Symposium on Quality Electronic Design (Cat. No. PR00525).

Dimitris Nikolos, Xrysovalantis Kavousianos, 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

Dimitris Nikolos, Dimitris Bakalis, Xrysovalantis Kavousianos, 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

Yiorgos Tsiatouhas, Themistoklis Haniotakis, Dimitris Nikolos, 2000, Proceedings 6th IEEE International On-Line Testing Workshop (Cat. No.PR00646).

Haridimos T. Vergos, Dimitris Nikolos, 1999, IEEE Trans. Computers.

Dimitris Nikolos, Dimitrios Kagaris, Spyros Gidaros, 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).

Dimitris Nikolos, Xrysovalantis Kavousianos, G. Sidiropoulos, 1997, 1997 IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems.

Georgios Keramidas, Dimitris Nikolos, Michail Mavropoulos, 2021, 2021 IEEE European Test Symposium (ETS).

Haridimos T. Vergos, Dimitris Nikolos, D. Nikolos, 1995, Microprocess. Microprogramming.

Haridimos T. Vergos, Dimitris Nikolos, Constantinos Efstathiou, 2003 .

Dimitris Gizopoulos, Dimitris Nikolos, C. Halatsis, 1996 .

Michael Nicolaidis, Haridimos T. Vergos, Dimitris Nikolos, 1999 .

Dimitris Gizopoulos, Dimitris Nikolos, Antonis Paschalis, 1996 .