Jeffrey S. Dwoskin

发表

Jianwei Huang, Mung Chiang, Dahai Xu, 2007, IEEE GLOBECOM 2007 - IEEE Global Telecommunications Conference.

Ruby B. Lee, Jeffrey S. Dwoskin, Mahadevan Gomathisankaran, 2010, ACSAC '10.

Ruby B. Lee, Zhenghong Wang, John Patrick McGregor, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Ruby B. Lee, Jeffrey S. Dwoskin, R. Kumar, 2003, The Thrity-Seventh Asilomar Conference on Signals, Systems & Computers, 2003.

Ruby B. Lee, Jeffrey S. Dwoskin, 2007, CCS '07.

Jianwei Huang, Mung Chiang, Dahai Xu, 2011, Theoretical Aspects of Distributed Computing in Sensor Networks.

Jianwei Huang, Mung Chiang, Dahai Xu, 2007, 2007 IEEE International Symposium on Information Theory.

Ruby B. Lee, Jeffrey S. Dwoskin, Yu-Yuan Chen, 2010 .

Ruby B. Lee, Zhenghong Wang, Jeffrey S. Dwoskin, 2005, ISCA 2005.

Jeffrey S. Dwoskin, T. Lan, Jianwei Huang, 2011 .

Ruby B. Lee, Jeffrey S. Dwoskin, Mahadevan Gomathisankaran, 2008 .