Chia-Hung Lin

发表

Jian-Xing Wu, Guan-Chun Chen, Ming-Jui Wu, 2017, Medical & Biological Engineering & Computing.

Chao-Shun Chen, Chia-Hung Lin, Hui-Jen Chuang, 2006, 2006 International Conference on Power System Technology.

Her-Terng Yau, Chao-Lin Kuo, Chia-Hung Lin, 2013, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Ming-Tong Tsay, Sung-Ling Chen, Chia-Hung Lin, 2004, 2004 IEEE Region 10 Conference TENCON 2004..

Chia-Hung Lin, Jian-Liung Chen, Cong-Hui Huang, 2014, IET Image Process..

Chia-Hung Lin, Jian-Liung Chen, Cong-Hui Huang, 2012, 2012 International Symposium on Computer, Consumer and Control.

Her-Terng Yau, Shi-Jaw Chen, Chia-Hung Lin, 2008, 2008 IEEE Conference on Soft Computing in Industrial Applications.

Chao-Shun Chen, Chia-Hung Lin, Hui-Jen Chuang, 2008, IEEE Transactions on Power Systems.

Chia-Hung Lin, Chin-Ying Ho, Tsung-En Lee, 2011, IEEE Transactions on Power Systems.

Shin-Lin Shieh, Yu-Chih Huang, Chin-Liang Wang, 2016, IEEE Communications Letters.

Chia-Hung Lin, Chao Shun Chen, Te Tien Ku, 2012 .

Chia-Hung Lin, Cong-Hui Huang, Ting-Chia Ou, 2009, 2009 Fourth International Conference on Innovative Computing, Information and Control (ICICIC).

Cheng-Ting Hsu, Chia-Hung Lin, Te-Tien Ku, 2012, IEEE Transactions on Power Systems.

Chia-Hung Lin, Chung-Dann Kan, Wei-Ling Chen, 2016, 2016 International Conference on Machine Learning and Cybernetics (ICMLC).

Chia-Hung Lin, Jian-Liung Chen, Che-Wei Chang, 2013, 2013 IEEE 37th Annual Computer Software and Applications Conference.

Yi-Yuan Chang, Chia-Hung Lin, Jiann-Chyi Rau, 2003, The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications, 2003. Proceedings..

Cheng-Ting Hsu, Chia-Hung Lin, Te-Tien Ku, 2020, 2020 IEEE/IAS 56th Industrial and Commercial Power Systems Technical Conference (I&CPS).

Chao-Lin Kuo, Ying-Che Kuo, Chia-Hung Lin, 2017, 2017 International Conference on Applied Electronics (AE).

Chia-Hung Lin, Te-Tien Ku, Cheng-Ta Tsai, 2011, 2011 4th International Conference on Electric Utility Deregulation and Restructuring and Power Technologies (DRPT).

Ming-Jui Wu, Chia-Hung Lin, Yi-Chun Du, 2014, 2014 International Symposium on Computer, Consumer and Control.

Tsung-Han Tsai, Yu-Nan Pan, Chia-Hung Lin, 2008, 2008 International Conference on Embedded Software and Systems.

Chia-Hung Lin, Yi-Chun Du, Yi-Chun Du, 2010, Digit. Signal Process..

Chao-Lin Kuo, Neng-Sheng Pai, Chia-Hung Lin, 2016, 2016 IEEE 14th International Conference on Industrial Informatics (INDIN).

Chia-Hung Lin, Shih-Ming Pan, Chia‐Hung Lin, 2012, 2012 International Symposium on Computer, Consumer and Control.

Chao-Shun Chen, Chia-Hung Lin, Chia-Wen Huang, 2006, IEEE Transactions on Power Systems.

Chia-Hung Lin, Chia‐Hung Lin, 2006, Medical and Biological Engineering and Computing.

Chia-Hung Lin, Jun-Ping Pu, Chia-Chi Lin, 2007 .

Chia-Hung Lin, Jian-Liung Chen, Wei-Der Chang, 2010 .

Chung-Hsien Wu, Chia-Hung Lin, Yu-Hsien Chiu, 2007, TALIP.

Chia-Hung Lin, Tainsong Chen, Chung-Dann Kan, 2014, IEEE Journal of Biomedical and Health Informatics.

Whei-Min Lin, Chia-Hung Lin, Zheng-Chi Sun, 2004, 2004 IEEE International Conference on Electric Utility Deregulation, Restructuring and Power Technologies. Proceedings.

Chia-Hung Lin, Cheng-Ta Tsai, Chao-Shun Chen, 2010, 2010 IEEE Industry Applications Society Annual Meeting.

Chia-Hung Lin, Shih-Ming Pan, Chia‐Hung Lin, 2010, 2010 International Symposium on Computer, Communication, Control and Automation (3CA).

Bing-Hong Liu, Ming-Jer Tsai, Chia-Hung Lin, 2009, IEEE/ACM Transactions on Networking.

Cheng-Ting Hsu, Chia-Hung Lin, Te-Tien Ku, 2019, IEEE Transactions on Industry Applications.

Chao-Shun Chen, Chung-Sheng Li, Chia-Hung Lin, 2005, 2005 IEEE/PES Transmission & Distribution Conference & Exposition: Asia and Pacific.

Chia-Hung Lin, Te-Tien Ku, Cheng-Ta Tsai, 2011, IEEE Transactions on Power Systems.

Chen-Shu Wang, I-Hsien Ting, Chia-Hung Lin, 2011, 2011 International Conference on Advances in Social Networks Analysis and Mining.

Cheng-Ting Hsu, Chia-Hung Lin, Chao-Shun Chen, 2010, 2010 IEEE Industry Applications Society Annual Meeting.

Chia-Hung Lin, Chao Shun Chen, Cheng Ting Hsu, 2013 .

Yan-Ying Chen, Bor-Chun Chen, Winston H. Hsu, 2014, ACM Multimedia.

Whei-Min Lin, Chia-Hung Lin, Keng-Pang Tu, 2004, 2004 IEEE International Conference on Electric Utility Deregulation, Restructuring and Power Technologies. Proceedings.

Chia-Hung Lin, Hui-Jen Chuang, Chin-Ying Ho, 2008, 2008 IEEE Industry Applications Society Annual Meeting.

Chia-Hung Lin, Chien-Hsien Wu, Ping-Zan Huang, 2009, Expert Syst. Appl..

Cheng-Ting Hsu, Chia-Hung Lin, Cheng-Ta Tsai, 2014, IEEE Transactions on Industry Applications.

Chia-Hung Lin, Chin-Ying Ho, Tsung-En Lee, 2011, Expert Syst. Appl..

Chia-Hung Lin, Chung-Dann Kan, Wei-Ling Chen, 2018, Intell. Decis. Technol..

Cheng-Ting Hsu, Chia-Hung Lin, Te-Tien Ku, 2013, IEEE Transactions on Power Systems.

Whei-Min Lin, Chia-Hung Lin, Chien-Hsien Wu, 2007, 2007 International Conference on Intelligent Systems Applications to Power Systems.

Shi-Jaw Chen, Chia-Hung Lin, 2013, 2013 IEEE 37th Annual Computer Software and Applications Conference.

Chao-Shun Chen, Chia-Hung Lin, Hui-Jen Chuang, 2005, 2005 IEEE/PES Transmission & Distribution Conference & Exposition: Asia and Pacific.

Cheng-Ting Hsu, Chia-Hung Lin, Te-Tien Ku, 2012, IEEE PES Innovative Smart Grid Technologies.

Chia-Hung Lin, M.-Y. Huang, Chao-Shun Chen, 2008 .

Chao-Lin Kuo, Chia-Hung Lin, Shen-Min Liang, 2009, 2009 Second International Symposium on Knowledge Acquisition and Modeling.

Martin Doerr, Jen-Shin Hong, Chia-Hung Lin, 2008, International Journal on Digital Libraries.

Ming-Jyi Jang, Chia-Hung Lin, Jian-Liung Chen, 2013, 2013 IEEE 37th Annual Computer Software and Applications Conference.

Chia-Hung Lin, Jian-Liung Chen, Ping-Zan Huang, 2011, Expert Syst. J. Knowl. Eng..

Chien-Ming Li, Tai-Lang Jong, Chia-Hung Lin, 2017, IEEE Transactions on Biomedical Circuits and Systems.

Li-Ying Chang, Chia-Hung Lin, JrJung Lyu, 2006, Int. J. Electron. Bus. Manag..

Chia-Hung Lin, Yi-Chun Du, Chung-Dann Kan, 2014, Computing in Science & Engineering.

Chao-Lin Kuo, Chia-Hung Lin, Cong-Hui Huang, 2011, IEEE Transactions on Power Delivery.

Chia-Hung Lin, Chao-Shun Chen, Wei-Lin Hsieh, 2013, 2013 International Symposium on Next-Generation Electronics.

Chia-Hung Lin, Chin-Ying Ho, Tsung-En Lee, 2011, 2011 6th IEEE Conference on Industrial Electronics and Applications.

Chien-Ming Chen, Chia-Hung Lin, Huang Cong-Hui, 2006 .

Pei-Jarn Chen, Chia-Hung Lin, Tainsong Chen, 2005, 2005 IEEE Engineering in Medicine and Biology 27th Annual Conference.

Chia-Hung Lin, Chao Shun Chen, Cheng Ting Hsu, 2014 .

Chia-Hung Lin, Tainsong Chen, Yi-Chun Du, 2008, Expert Syst. Appl..

Ya-Chin Chang, Rung-Fang Chang, Shi-Jaw Chen, 2012, 2012 International Symposium on Computer, Consumer and Control.

Chia-Hung Lin, C. S. Chen, Chia-Jean Wu, 2000, 2000 Power Engineering Society Summer Meeting (Cat. No.00CH37134).

Chao-Lin Kuo, Shi-Jaw Chen, Chia-Hung Lin, 2013, IEEE Transactions on Power Delivery.

Chia-Hung Lin, Yi-Chun Du, Tain-Song Chen, 2006, 2006 International Conference of the IEEE Engineering in Medicine and Biology Society.

Whei-Min Lin, Chia-Hung Lin, Fu-Sheng Cheng, 2008, IEEE Transactions on Power Delivery.

Chia-Hung Lin, Meei-Song Kang, Long-Wei Wang, 2008, 2008 IEEE/IAS Industrial and Commercial Power Systems Technical Conference.

Ferenc Szidarovszky, Chia-Hung Lin, 1996 .

Ming-Jer Tsai, Chia-Hung Lin, Hong-Yen Yang, 2008, IEEE Transactions on Mobile Computing.

Chia-Hung Lin, Chung-Dann Kan, Wei-Ling Chen, 2017, Int. J. Distributed Sens. Networks.

Chao-Shun Chen, Chia-Hung Lin, Chia-Jean Wu, 2001, 2001 IEEE Porto Power Tech Proceedings (Cat. No.01EX502).

Chao-Shun Chen, Chia-Hung Lin, Hui-Jen Chuang, 2008, 2008 Third International Conference on Electric Utility Deregulation and Restructuring and Power Technologies.

Whei-Min Lin, Chia-Hung Lin, Fu-Sheng Cheng, 2008 .

Sun-Yuan Hsieh, Chia-Hung Lin, Chia-Yin Hsu, 2014, IEEE Transactions on Parallel and Distributed Systems.

Ying-Che Kuo, Chia-Hung Lin, Pi-Yun Chen, 2018, 2018 International Symposium on Computer, Consumer and Control (IS3C).

Gregory D. Hager, Chia-Hung Lin, Varun Jain, 2019, 2019 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS).

Chia-Hung Lin, Chung-Dann Kan, Wei-Ling Chen, 2019, Journal of X-Ray Science and Technology.

Chung-Sheng Li, Chia-Hung Lin, Hui-Jen Chuang, 2006, IEEE Transactions on Power Systems.

Chung-Sheng Li, Chia-Hung Lin, Hui-Jen Chuang, 2009, 2009 4th IEEE Conference on Industrial Electronics and Applications.

Whei-Min Lin, Chia-Hung Lin, Chia-Sheng Tu, 2013, 2013 IEEE 37th Annual Computer Software and Applications Conference.

Ming-Jui Wu, Chia-Hung Lin, Yi-Chun Du, 2015, IEEE Journal of Biomedical and Health Informatics.

Chien-Ming Li, Jian-Xing Wu, Chia-Hung Lin, 2018, IEEE Transactions on Emerging Topics in Computational Intelligence.

Wei-Ta Chu, Chia-Hung Lin, Jen-Yu Yu, 2009, ACM Multimedia.

Chia-Hung Lin, Chao Shun Chen, Cheng Ting Hsu, 2013 .

Chia-Hung Lin, Zwe-Lee Gaing, Z. Gaing, 2011, Appl. Comput. Intell. Soft Comput..

Chia-Hung Lin, Jian-Liung Chen, Chiung Yi Tseng, 2011, Expert Syst. Appl..

Ming-Jer Tsai, Chia-Hung Lin, Shih-Wei Chiu, 2010, IEEE Transactions on Computers.

Ming-Jer Tsai, Chia-Hung Lin, Jian-Jhih Kuo, 2010, 2010 Proceedings IEEE INFOCOM.

Yan-Ying Chen, Bor-Chun Chen, Winston H. Hsu, 2014, ACM Multimedia.

Pei-Jarn Chen, Chia-Hung Lin, Tainsong Chen, 2013, Medical & Biological Engineering & Computing.

Cheng-Ting Hsu, Chia-Hung Lin, Te-Tien Ku, 2020, 2020 IEEE/IAS 56th Industrial and Commercial Power Systems Technical Conference (I&CPS).

Cheng-Ting Hsu, Chia-Hung Lin, Te-Tien Ku, 2013, 2013 International Symposium on Next-Generation Electronics.

Whei-Min Lin, Chia-Hung Lin, Fu-Sheng Cheng, 2006, 2006 International Conference on Power System Technology.

Chia-Hung Lin, Chao Shun Chen, Cheng Ting Hsu, 2014 .

Chia-Hung Lin, Te-Tien Ku, Chao-Shun Chen, 2010, IEEE Transactions on Industry Applications.

Sung-Ling Chen, Chia-Hung Lin, Ming-Tang Tsai, 2008 .

Cheng-Ting Hsu, Chia-Hung Lin, Hui-Jen Chuang, 2019, IEEE Transactions on Industry Applications.

Chia-Hung Lin, Te-Tien Ku, Chin-Ying Ho, 2012, 2012 7th IEEE Conference on Industrial Electronics and Applications (ICIEA).

Chia-Hung Lin, Cong-Hui Huang, Cong-Hui Huang, 2014, TheScientificWorldJournal.

Wei-Ta Chu, Chia-Hung Lin, W. Chu, 2010, J. Vis. Commun. Image Represent..

Chia-Hung Lin, Te-Tien Ku, Chao-Shun Chen, 2010, IEEE PES T&D 2010.

Ming-Jer Tsai, Chia-Hung Lin, Ming-Jer Tsai, 2006, IEEE Transactions on Mobile Computing.

Pei-Jarn Chen, Chia-Hung Lin, Tainsong Chen, 2015, 2015 IEEE International Ultrasonics Symposium (IUS).

Guan-Chun Chen, Chia-Hung Lin, Jian-Xing Wu, 2016, 2016 SAI Computing Conference (SAI).

Chia-Hung Lin, Tainsong Chen, Chung-Dann Kan, 2013, 2013 1st International Conference on Orange Technologies (ICOT).

Pei-Jarn Chen, Chia-Hung Lin, Tainsong Chen, 2013, 2013 IEEE International Ultrasonics Symposium (IUS).