Paolo Ienne

发表

Xavier Jimenez, David Novo, Paolo Ienne, 2014, FAST.

Paolo Ienne, Lunkai Zhang, Mingzhe Zhang, 2013, 2013 IEEE Eighth International Conference on Networking, Architecture and Storage.

Paolo Ienne, Kubilay Atasu, Laura Pozzi, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Paolo Ienne, Gary Kuhn, P. Ienne, 1995, Defense + Commercial Sensing.

Paolo Ienne, Lana Josipović, Andrea Guerrieri, 2020, FPGA.

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Paolo Ienne, Hadi Parandeh-Afshar, Madhura Purnaprajna, 2013, FPGA '13.

Paolo Ienne, Anshul Kumar, Philip Brisk, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

David Novo, Paolo Ienne, Andrew Becker, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xavier Jimenez, David Novo, Paolo Ienne, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Paolo Ienne, Wayne P. Burleson, Ali Galip Bayrak, 2012, TACO.

David Novo, Paolo Ienne, Vítor Manuel Mendes da Silva, 2015, 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines.

Paolo Ienne, Philip Brisk, Alessandro Cevrero, 2009, TRETS.

David Novo, Paolo Ienne, Haigang Yang, 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

Nikil D. Dutt, Paolo Ienne, Laura Pozzi, 2005, Design, Automation and Test in Europe.

Xavier Jimenez, David Novo, Paolo Ienne, 2012, DAC Design Automation Conference 2012.

Giovanni De Micheli, Mathias Soeken, Paolo Ienne, 2017, ACM Great Lakes Symposium on VLSI.

Jing Huang, Paolo Ienne, Olivier Temam, 2014, 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

David Novo, Paolo Ienne, Muhsen Owaida, 2016, FPGA.

Paolo Ienne, Andrea Guerrieri, Lana Josipovic, 2019, FPGA.

Paolo Ienne, P. Petrov, 2008, IEEE Trans. Very Large Scale Integr. Syst..

Paolo Ienne, Grace Zgheib, Stefan Nikolic, 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).

Giovanni De Micheli, Paolo Ienne, Patrick Thiran, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Paolo Ienne, Maurizio Skerlj, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

David Novo, Paolo Ienne, Francky Catthoor, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Giovanni De Micheli, Mathias Soeken, Paolo Ienne, 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).

Paolo Ienne, Theo Kluter, Philip Brisk, 2009, 2009 IEEE 7th Symposium on Application Specific Processors.

Paolo Ienne, Dagmar Niebur, Patrick Thiran, 1996, Sci. Program..

Paolo Ienne, Ajay K. Verma, 2004, Proceedings. DELTA 2004. Second IEEE International Workshop on Electronic Design, Test and Applications.

Giovanni De Micheli, Paolo Ienne, Laura Pozzi, 2003, Proceedings IEEE International Conference on Application-Specific Systems, Architectures, and Processors. ASAP 2003.

Paolo Ienne, Ajay K. Verma, P. Ienne, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Paolo Ienne, Edoardo Charbon, Theo Kluter, 2012, 2012 International Conference on Embedded Computer Systems (SAMOS).

Paolo Ienne, Thierry Cornu, Gary Kuhn, 1996, J. VLSI Signal Process..

Paolo Ienne, Laura Pozzi, Miljan Vuletic, 2004, Proceedings. 41st Design Automation Conference, 2004..

Paolo Ienne, Marc A. Viredaz, 1993, Proceedings of International Conference on Application Specific Array Processors (ASAP '93).

Paolo Ienne, Olivier Temam, Xiaobing Feng, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Paolo Ienne, Patrick Thiran, Frederic Worm, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Paolo Ienne, Ajay K. Verma, 2007, 2007 Asia and South Pacific Design Automation Conference.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2008, 2008 Design, Automation and Test in Europe.

Nikil D. Dutt, Paolo Ienne, Kubilay Atasu, 2004, Proceedings. 41st Design Automation Conference, 2004..

Paolo Ienne, Daniel Mlynek, Marc Epalza, 2004, Asia-Pacific Computer Systems Architecture Conference.

Guy Lemieux, Paolo Ienne, Philip Brisk, 2012, FPGA '12.

Yusuf Leblebici, Paolo Ienne, Alessandro Cevrero, 2010 .

Paolo Ienne, Hadi Parandeh-Afshar, P. Ienne, 2011, 2011 21st International Conference on Field Programmable Logic and Applications.

Yusuf Leblebici, Paolo Ienne, Andreas Peter Burg, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Nikil D. Dutt, Paolo Ienne, Laura Pozzi, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Novo, Paolo Ienne, Alan Mishchenko, 2015, 2015 International Conference on Field Programmable Technology (FPT).

Paolo Ienne, Philip Brisk, Alessandro Cevrero, 2009, 2009 International Conference on Field-Programmable Technology.

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2008, FPGA '08.

Arun Paidimarri, Paolo Ienne, Philip Brisk, 2009, 2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.

David Novo, Paolo Ienne, Francky Catthoor, 2013, SiPS 2013 Proceedings.

Paolo Ienne, Daniel Mlynek, Marc Epalza, 2004, Proceedings. 13th International Conference on Parallel Architecture and Compilation Techniques, 2004. PACT 2004..

Paolo Ienne, Christof Paar, Luca Breveglieri, 2007 .

Paolo Ienne, Grace Zgheib, 2016, 2016 International Conference on Field-Programmable Technology (FPT).

Paolo Ienne, Andrea Guerrieri, Mikhail Asiatici, 2019, IEEE Access.

Paolo Ienne, Kubilay Atasu, Radu Stoica, 2020, 2020 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).

Yusuf Leblebici, Paolo Ienne, Mehmet Derin Harmanci, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Paolo Ienne, Kubilay Atasu, Radu Stoica, 2020, Proc. VLDB Endow..

Paolo Ienne, Mikhail Asiatici, Gabor Csordas, 2019, 2019 International Conference on Field-Programmable Technology (ICFPT).

Yusuf Leblebici, Paolo Ienne, Christof Paar, 2009, Trans. Comput. Sci..

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dongrui Fan, Paolo Ienne, Wei Lin, 2010, 2010 IEEE International Symposium on Parallel & Distributed Processing (IPDPS).

Wei Hu, Paolo Ienne, Dejun Mu, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Paolo Ienne, Anshul Kumar, M. Balakrishnan, 2002, 15th International Symposium on System Synthesis, 2002..

Paolo Ienne, Francesco Regazzoni, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Paolo Ienne, Dagmar Niebur, Thierry Cornu, 1994 .

Hamid Sarbazi-Azad, Paolo Ienne, Hossein Asadi, 2016, Microprocess. Microsystems.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Paolo Ienne, Philip Brisk, P. Ienne, 2009, 2009 International Symposium on VLSI Design, Automation and Test.

Israel Koren, Paolo Ienne, Thomas Eisenbarth, 2008, 2008 IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems.

Paolo Ienne, Laura Pozzi, Miljan Vuletic, 2005, IEEE Design & Test of Computers.

Paolo Ienne, Madhura Purnaprajna, 2013, 2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.

Stéphane Badel, Yusuf Leblebici, Paolo Ienne, 2009, CHES.

Paolo Ienne, Walter Stechele, Jason Helge Anderson, 2016, FPL.

Wei Hu, Paolo Ienne, Ryan Kastner, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2009 .

Paolo Ienne, Edoardo Charbon, Theo Kluter, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Robert K. Brayton, Giovanni De Micheli, Mathias Soeken, 2016, SAT.

Paolo Ienne, Hadi Parandeh-Afshar, Zhihong Huang, 2014, FPGA.

Paolo Ienne, Laura Pozzi, Christophe Dubach, 2005, 2005 Third IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS'05).

Paolo Ienne, Bilel Belhadj, Andrea Guerrieri, 2018, 2018 NASA/ESA Conference on Adaptive Hardware and Systems (AHS).

Paolo Ienne, Hadi Parandeh-Afshar, P. Ienne, 2010, 2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2007, CASES '07.

Paolo Ienne, François Blayo, Christian Lehmann, 1992 .

David Novo, Paolo Ienne, Philip Brisk, 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Paolo Ienne, Ajay K. Verma, P. Ienne, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Nikil D. Dutt, Paolo Ienne, Laura Pozzi, 2006, 19th International Conference on VLSI Design held jointly with 5th International Conference on Embedded Systems Design (VLSID'06).

Nuno Roma, Pedro Tomás, Paolo Ienne, 2018, 2018 30th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD).

Paolo Ienne, Jordi Madrenas, Juan Manuel Moreno, 1996 .

Paolo Ienne, Grace Zgheib, P. Ienne, 2017, 2017 27th International Conference on Field Programmable Logic and Applications (FPL).

Paolo Ienne, P. Ienne, 1995, Proceedings The International Conference on Application Specific Array Processors.

Paolo Ienne, Philip Brisk, Lana Josipovic, 2017, 2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Nikil D. Dutt, Paolo Ienne, Laura Pozzi, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Paolo Ienne, Philip Brisk, Alessandro Cevrero, 2009, 2009 International Conference on Field Programmable Logic and Applications.

David Novo, Paolo Ienne, Vítor Manuel Mendes da Silva, 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

Paolo Ienne, Kizheppatt Vipin, Suhaib A. Fahmy, 2017, IEEE Access.

Paolo Ienne, David Hough, Elisardo Antelo, 2012, IEEE Trans. Computers.

Paolo Ienne, Olivier Temam, Yunji Chen, 2013, FPGA '13.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Paolo Ienne, Jean-Pierre Talpin, P. Ienne, 2016, ACM Trans. Embed. Comput. Syst..

Paolo Ienne, John Wickerson, Lana Josipovic, 2020, FPGA.

Paolo Ienne, Hossein Asadi, Sadegh Yazdanshenas, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Giovanni De Micheli, Paolo Ienne, Patrick Thiran, 2002, 15th International Symposium on System Synthesis, 2002..

Paolo Ienne, Philip Brisk, Alessandro Cevrero, 2008, CASES '08.

Paolo Ienne, Maria Gabrani, Dominique Tschopp, 2005 .

Paolo Ienne, Laura Pozzi, Miljan Vuletic, 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Paolo Ienne, Hadi Parandeh-Afshar, Madhura Purnaprajna, 2013, 2013 23rd International Conference on Field programmable Logic and Applications.

Paolo Ienne, M. A. Viredaz, 2002 .

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2010, 2010 International Conference on Field Programmable Logic and Applications.

Yusuf Leblebici, Paolo Ienne, Philip Brisk, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Stéphane Badel, Yusuf Leblebici, Paolo Ienne, 2007, 2007 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

Ludovic Righetti, Paolo Ienne, Laura Pozzi, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Paolo Ienne, Ajay K. Verma, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2009, 2009 19th IEEE Symposium on Computer Arithmetic.

Paolo Ienne, Grace Zgheib, Stefan Nikolić, 2020, 2020 30th International Conference on Field-Programmable Logic and Applications (FPL).

Paolo Ienne, Patrick Thiran, Nikolaos Vassilas, 1997, IEEE Trans. Neural Networks.

Paolo Ienne, Jordi Cortadella, Lana Josipović, 2020, FPGA.

Paolo Ienne, Walter Stechele, Christopher Claus, 2006, 2006 IEEE International Conference on Field Programmable Technology.

Francesco Mondada, Paolo Ienne, Edoardo Franzi, 1993, ISER.

Israel Koren, Paolo Ienne, Luca Breveglieri, 2012, Fault Analysis in Cryptography.

Satish Narayanasamy, Bjorn De Sutter, Paolo Ienne, 2013, ACM Trans. Archit. Code Optim..

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2008, 2008 Design, Automation and Test in Europe.

Amit Verma, Paolo Ienne, Philip Brisk, 2009, 2009 Asia and South Pacific Design Automation Conference.

David Novo, Paolo Ienne, Andreas G. Veneris, 2015, Haifa Verification Conference.

Paolo Ienne, Marc A. Viredaz, P. Ienne, 1992 .

Paolo Ienne, Kizheppatt Vipin, Suhaib A. Fahmy, 2016, 2016 26th International Conference on Field Programmable Logic and Applications (FPL).

Giovanni De Micheli, Paolo Ienne, Patrick Thiran, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Paolo Ienne, Philip Brisk, François-Xavier Standaert, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Paolo Ienne, Madhura Purnaprajna, 2012, TACO.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2007, CASES '07.

Alper Demir, Yusuf Leblebici, Paolo Ienne, 2005, 3rd Workshop on Embedded Systems for Real-Time Multimedia, 2005..

Paolo Ienne, Patrick Thiran, Frederic Worm, 2005, 11th IEEE International Symposium on Asynchronous Circuits and Systems.

Nikil D. Dutt, Paolo Ienne, Laura Pozzi, 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Tianshi Chen, Paolo Ienne, Olivier Temam, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

David Novo, Paolo Ienne, Alan Mishchenko, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2008, 2008 Asia and South Pacific Design Automation Conference.

Joseph R. Cavallaro, Paolo Ienne, Frank Hannig, 2011 .

Paolo Ienne, Muhsen Owaida, Nikolaos Bellas, 2015, PARCO.

Kunle Olukotun, Martin Odersky, David Novo, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Kunle Olukotun, David Novo, Paolo Ienne, 2015, 2015 25th International Conference on Field Programmable Logic and Applications (FPL).

David Novo, Paolo Ienne, Frederico Pratas, 2017, IEEE Access.

Paolo Ienne, Edoardo Charbon, Theo Kluter, 2009, HiPEAC.

Giovanni De Micheli, David Novo, Paolo Ienne, 2015 .

Paolo Ienne, David Novo, Andrew Becker, 2013, 2013 Asilomar Conference on Signals, Systems and Computers.

Paolo Ienne, Lana Josipović, Andrea Guerrieri, 2019, 2019 International Conference on Field-Programmable Technology (ICFPT).

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Paolo Ienne, Mikhail Asiatici, P. Ienne, 2019, 2019 29th International Conference on Field Programmable Logic and Applications (FPL).

Joseph R. Cavallaro, Earl E. Swartzlander, Milos D. Ercegovac, 2011, ASAP.

Paolo Ienne, Kubilay Atasu, Laura Pozzi, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Paolo Ienne, Laura Pozzi, 2007 .

Paolo Ienne, Edoardo Charbon, Theo Kluter, 2008, CODES+ISSS '08.

Xavier Jimenez, David Novo, Paolo Ienne, 2015, TECS.

David Novo, Paolo Ienne, Andreas Peter Burg, 2012, 2012 IEEE 20th International Symposium on Field-Programmable Custom Computing Machines.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2008, 2008 Asia and South Pacific Design Automation Conference.

Paolo Ienne, Maurizio Skerlj, 2008, ISQED 2008.

David Novo, Paolo Ienne, Andreas Peter Burg, 2015, TECS.

Amit Verma, Paolo Ienne, Christof Paar, 2005 .

Francisco J. Cazorla, Yunheung Paek, Mateo Valero, 2011 .

Paolo Ienne, Radhika Ghosal, Lana Josipovic, 2018, FPGA.

Wei Li, Paolo Ienne, Haigang Yang, 2017, FPGA.

Israel Koren, Paolo Ienne, Christof Paar, 2007, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007).

Yusuf Leblebici, Paolo Ienne, Nuria Pazos, 2006, 2006 IFIP International Conference on Very Large Scale Integration.

David Novo, Paolo Ienne, Francesco Regazzoni, 2013, CHES.

Paolo Ienne, Stefan Nikolic, Anastasiia Kucherenko, 2019, FPGA.

Paolo Ienne, Patrick Thiran, Frederic Worm, 2006, 12th IEEE International On-Line Testing Symposium (IOLTS'06).

Paolo Ienne, Marc A. Viredaz, P. Ienne, 1993, J. VLSI Signal Process..

Paolo Ienne, Laura Pozzi, Miljan Vuletic, 2006, IEEE Trans. Very Large Scale Integr. Syst..

Paolo Ienne, Walid A. Najjar, P. Ienne, 2014, IEEE Micro.

David Novo, Paolo Ienne, Sara El Alaoui, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2009, Des. Autom. Embed. Syst..

David Novo, Paolo Ienne, Philip Brisk, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Paolo Ienne, Laura Pozzi, Miljan Vuletic, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Paolo Ienne, Philip Brisk, Lana Josipovic, 2017, ACM Trans. Embed. Comput. Syst..

Paolo Ienne, Patrick Thiran, Frederic Worm, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Martin Odersky, David Novo, Paolo Ienne, 2013, 2013 International Conference on Field-Programmable Technology (FPT).

David Novo, Paolo Ienne, Philip Brisk, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yi Zhu, Paolo Ienne, Philip Brisk, 2009, 2009 IEEE 7th Symposium on Application Specific Processors.

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2011, TRETS.

Paolo Ienne, Laura Pozzi, Miljan Vuletic, 2004, Proceedings. 15th IEEE International Conference on Application-Specific Systems, Architectures and Processors, 2004..

Paolo Ienne, Philip Brisk, Jani Boutellier, 2009, 2009 IEEE Workshop on Signal Processing Systems.

Stéphane Badel, Yusuf Leblebici, Paolo Ienne, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Paolo Ienne, Johann Großschädl, Laura Pozzi, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Paolo Ienne, Mikhail Asiatici, Damian Maiorano, 2020, 2020 IEEE 31st International Conference on Application-specific Systems, Architectures and Processors (ASAP).

Paolo Ienne, Philip Brisk, Alessandro Cevrero, 2009, FPGA '09.

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2011, FPGA '11.

Giovanni De Micheli, Paolo Ienne, Patrick Thiran, 2004, IEEE Design & Test of Computers.

Paolo Ienne, Philip Brisk, Ajay K. Verma, 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Robert K. Brayton, Giovanni De Micheli, Mathias Soeken, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

David Novo, Paolo Ienne, Philip Brisk, 2015, IEEE Transactions on Computers.

Paolo Ienne, Philip Brisk, Hadi Parandeh-Afshar, 2009, TRETS.

Paolo Ienne, Philip Brisk, Lana Josipovic, 2017, 2017 51st Asilomar Conference on Signals, Systems, and Computers.

Paolo Ienne, Hadi Parandeh-Afshar, David Novo, 2012, FPGA '12.

Paolo Ienne, Marc A. Viredaz, 1994, IEEE Trans. Computers.

Paolo Ienne, Edoardo Charbon, Theo Kluter, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Huawei Li, Xiaowei Li, David Novo, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Paolo Ienne, Nithin George, Lana Josipovic, 2016, 2016 International Conference on Field-Programmable Technology (FPT).

Paolo Ienne, Francky Catthoor, Zsolt Tokei, 2021, FPGA.

Paolo Ienne, Mikhail Asiatici, Damian Maiorano, 2021, J. Signal Process. Syst..

Paolo Ienne, Mikhail Asiatici, P. Ienne, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Paolo Ienne, Andrea Guerrieri, Lana Josipovic, 2021, 2022 IEEE 30th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Paolo Ienne, Andrea Guerrieri, Lana Josipovic, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Paolo Ienne, Stefan Nikolic, P. Ienne, 2021, 2021 31st International Conference on Field-Programmable Logic and Applications (FPL).

Paolo Ienne, George A. Constantinides, John Wickerson, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yusuf Leblebici, Paolo Ienne, Alessandro Cevrero, 2010, 2010 IEEE Asian Solid-State Circuits Conference.

Paolo Ienne, Alan Mishchenko, Muhsen Owaida, 2016 .