Timothy A. Davis

发表

Timothy A. Davis, Kenneth Pestka, Alan Kaplan, 2003, VISSOFT.

Timothy A. Davis, Sivasankaran Rajamanickam, Wissam M. Sid-Lakhdar, 2016, Acta Numerica.

Timothy A. Davis, Pen-Chung Yew, T. Davis, 1989 .

Erik Reinhard, Timothy A. Davis, Alan Chalmers, 2002, Practical Parallel Rendering.

Timothy A. Davis, Steven C. Rennich, Darko Stosic, 2014, IA3 '14.

Timothy A. Davis, E. Palamadai Natarajan, T. Davis, 2012 .

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, Scott P. Kolodziej, T. Davis, 2020, International Conference on Scientific Computing.

Timothy A. Davis, Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, T. Davis, 2006, Fundamentals of algorithms.

Scott McMillan, Timothy A. Davis, José E. Moreira, 2019, 2019 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, Iain S. Duff, I. S. Du, 1993 .

Timothy A. Davis, Rebecca Johnson, T. Davis, 2003, Eurographics.

Timothy A. Davis, Sanjay Ranka, Wissam M. Sid-Lakhdar, 2015 .

Timothy A. Davis, Gábor Szárnyas, Márton Elekes, 2020, 2020 IEEE High Performance Extreme Computing Conference (HPEC).

Sartaj Sahni, Sanguthevar Rajasekaran, Sanjay Ranka, 2000, IPDPS Workshops.

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, T. Davis, Timothy A. Davis, 2004, TOMS.

Timothy A. Davis, Sivasankaran Rajamanickam, T. Davis, 2010 .

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, Tariq Rashid, T. Davis, 1995, PPSC.

Timothy A. Davis, Steven M. Hadfield, T. Davis, 1995, ICPP.

Timothy A. Davis, William W. Hager, Scott P. Kolodziej, 2020, ACM Trans. Math. Softw..

Theodore Johnson, Timothy A. Davis, 1992, Proceedings ICCI `92: Fourth International Conference on Computing and Information.

Timothy A. Davis, T. Davis, 2006 .

Timothy A. Davis, Robert Geist, James Westall, 2007 .

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, William W. Hager, W. Hager, 2009, TOMS.

Timothy A. Davis, William W. Hager, W. Hager, 2005, SIAM J. Matrix Anal. Appl..

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, Dezhen Song, Di Wang, 2019, 2019 IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS).

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, Erick Moreno-Centeno, Adolfo R. Escobedo, 2019, SIAM J. Matrix Anal. Appl..

Timothy A. Davis, John R. Gilbert, Esmond G. Ng, 2000, TOMS.

Patrick R. Amestoy, Timothy A. Davis, Iain S. Duff, 1996, SIAM J. Matrix Anal. Appl..

Timothy A. Davis, James Westall, Sarah Matzko, 2004, Technical Symposium on Computer Science Education.

Timothy A. Davis, Santosh G. Abraham, T. Davis, 1988, ICPP.

Marc Alexa, Timothy A. Davis, Philipp Herholz, 2017, ACM Trans. Graph..

Timothy A. Davis, William W. Hager, James T. Hungerford, 2016, ACM Trans. Math. Softw..

Timothy A. Davis, T. Davis, 2010 .

Sanjay Ranka, Timothy A. Davis, Meng Tang, 2018, J. Comput. Sci..

Timothy A. Davis, T. Davis, 2018, 2018 IEEE High Performance extreme Computing Conference (HPEC).

Timothy A. Davis, T. Davis, 2006 .

Timothy A. Davis, William W. Hager, W. Hager, 2011 .

Timothy A. Davis, Paul A. Fishwick, Jane Douglas, 2005, TOMC.

Timothy A. Davis, Patrick Amestoy, Iain S. Duff, 2004, TOMS.

Timothy A. Davis, Steven C. Rennich, Darko Stosic, 2016, Parallel Comput..

Timothy A. Davis, T. Davis, 2006 .

Timothy A. Davis, Edward S. Davidson, E. Davidson, 1988, IEEE Trans. Computers.

Timothy A. Davis, T. Davis, 2009, Combinatorial Scientific Computing.

Timothy A. Davis, Timothy A. Davis, T. Davis, 2006 .

Timothy A. Davis, Iain S. Duff, I. Duff, 1999, TOMS.

Theodore Johnson, Timothy A. Davis, Steven M. Hadfield, 1996 .

Timothy A. Davis, John R. Gilbert, Esmond G. Ng, 2004, TOMS.

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, Yifan Hu, Matthew Henderson, 2019, J. Open Source Softw..

Timothy A. Davis, William W. Hager, W. Hager, 2007, Math. Program..

Timothy A. Davis, Ekanathan Palamadai Natarajan, T. Davis, 2010 .

Timothy A. Davis, E. Emsellem, Harald Kuntschner, 2015 .

Timothy A. Davis, Sarah Matzko, 2008, SIGCSE 2008.

Timothy A. Davis, Kermit Sigmon, 2005 .

Sanjay Ranka, Timothy A. Davis, Meng Tang, 2018, ICPP.

Timothy A. Davis, Steven M. Hadfield, Theodore Johnson, 1993, 1993 International Conference on Parallel Processing - ICPP'93.

Timothy A. Davis, Theodore Johnson, 1992, Parallel Process. Lett..

Timothy A. Davis, William W. Hager, W. Hager, 1999, SIAM J. Matrix Anal. Appl..

Timothy A. Davis, Yifan Hu, T. Davis, 2011, TOMS.

Timothy A. Davis, T. Davis, 2010 .

Timothy A. Davis, William W. Hager, W. Hager, 2000, SIAM J. Matrix Anal. Appl..

Timothy A. Davis, Laura Grigori, Erik G. Boman, 2010, SIAM J. Sci. Comput..

Timothy A. Davis, T. Davis, 2006 .

Timothy A. Davis, Durham, Danail Obreschkow, 2017, 1701.04407.

Timothy A. Davis, C. J. Lonsdale, Shinya Komugi, 2015 .

Timothy A. Davis, Tom Oosterloo, Harald Kuntschner, 2012 .