Reinaldo A. Bergamaschi

发表

Gero Dittmann, Hiren D. Patel, Pradip Bose, 2007, 2007 5th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Reinaldo A. Bergamaschi, 2004, SLIP '04.

Reinaldo A. Bergamaschi, Raul Compasano, 1991, DAC '90.

Subhrajit Bhattacharya, Reinaldo A. Bergamaschi, William Robert Lee, 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

Andreas Kuehlmann, Reinaldo A. Bergamaschi, 1992, ICCAD.

Sandeep K. Shukla, Hiren D. Patel, Reinaldo A. Bergamaschi, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Salil Raje, Reinaldo A. Bergamaschi, 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Reinaldo A. Bergamaschi, Andreas Kuehlmann, 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

Andreas Kuehlmann, Reinaldo A. Bergamaschi, Donald A. Lobo, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

S. M. Wu, Reinaldo A. Bergamaschi, Raul Camposano, 1991 .

Reinaldo A. Bergamaschi, Raul Camposano, Michael Payer, 1991, Integr..

Reinaldo A. Bergamaschi, Ricardo Pezzuol Jacobi, 2008, SBCCI '08.

Reinaldo A. Bergamaschi, Sandro Rigo, Leonardo Piga, 2014, Cluster Computing.

Reinaldo A. Bergamaschi, 2011, ASAC.

Sharad Malik, Shaojie Wang, Reinaldo A. Bergamaschi, 2003, DATE.

Reinaldo A. Bergamaschi, R. Bergamaschi, 2007, IEEE Des. Test Comput..

Leon Stok, Shiv Prakash, Andreas Kuehlmann, 1995, IBM J. Res. Dev..

Reinaldo A. Bergamaschi, 2010, Des. Autom. Embed. Syst..

Subhrajit Bhattacharya, Stephen V. Kosonocky, Youngsoo Shin, 2003 .

Reinaldo A. Bergamaschi, 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

Daniel Brand, Subhrajit Bhattacharya, Andreas Herkersdorf, 2002, IBM J. Res. Dev..

Jean-Marc Daveau, Reinaldo A. Bergamaschi, William R. Lee, 2001, IEEE Des. Test Comput..

Rajesh Gupta, Kurt Keutzer, I. Bolsens, 2001 .

Reinaldo A. Bergamaschi, R. Bergamaschi, 2006 .

Reinaldo A. Bergamaschi, John Cohn, 2002, ICCAD 2002.

Reinaldo A. Bergamaschi, Raul Camposano, Michael Payer, 1991, 28th ACM/IEEE Design Automation Conference.

Reinaldo A. Bergamaschi, Raul Camposano, Michael Payer, 1991, Proceedings of the European Conference on Design Automation..

Sandeep K. Shukla, Hiren D. Patel, Reinaldo A. Bergamaschi, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Reinaldo A. Bergamaschi, S. Raje, 1997, ICCAD 1997.

Andreas Kuehlmann, Reinaldo A. Bergamaschi, 1993, IEEE Trans. Very Large Scale Integr. Syst..

Wolfgang Rosenstiel, Reinaldo A. Bergamaschi, Wilhelmus Van Noije, 2003 .

Daniel Brand, Reinaldo A. Bergamaschi, Leon Stok, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Daniel Brand, Leon Stok, Reinaldo A. Bergamaschi, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Daniel Brand, Leon Stok, Reinaldo A. Bergamaschi, 1995, ICCAD.

Brian M. Barry, Reinaldo A. Bergamaschi, John Duimovich, 1999, ICCAD.

Gero Dittmann, Hiren D. Patel, Pradip Bose, 2008, 2008 Asia and South Pacific Design Automation Conference.

Reinaldo A. Bergamaschi, 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Rodolfo Azevedo, Reinaldo A. Bergamaschi, Sandro Rigo, 2011, 2011 IEEE International Symposium on Workload Characterization (IISWC).

Wolfgang Rosenstiel, Reinaldo A. Bergamaschi, 2005, Des. Autom. Embed. Syst..

Andreas Kuehlmann, Reinaldo A. Bergamaschi, 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.

Wolfgang Rosenstiel, Reinaldo A. Bergamaschi, Wilhelmus Van Noije, 2003, 16th Symposium on Integrated Circuits and Systems Design, 2003. SBCCI 2003. Proceedings..

Grant Martin, Reinaldo A. Bergamaschi, 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

Reinaldo A. Bergamaschi, R. Bergamaschi, 1995, 32nd Design Automation Conference.

Salil Raje, Reinaldo A. Bergamaschi, R. Bergamaschi, 1997, IEEE Des. Test Comput..

Reinaldo A. Bergamaschi, Rodrigo Carvalho Rezende, Akihito Kumon, 2012, SIGWEB Newsl..

Salil Raje, Reinaldo A. Bergamaschi, R. Bergamaschi, 1996, Proceedings ED&TC European Design and Test Conference.

John M. Cohn, Reinaldo A. Bergamaschi, 2002, IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..

Reinaldo A. Bergamaschi, Raul Camposano, Michael Payer, 1991 .

Pierre G. Paulin, Francine Bacchini, Mohamed Ben-Romdhane, 2004, DAC '04.

Daniel Brand, Subhrajit Bhattacharya, Andreas Herkersdorf, 2002 .

Reinaldo A. Bergamaschi, 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

Daniel Gajski, Reinaldo A. Bergamaschi, 1999, ISSS.

Rolf Ernst, Wayne H. Wolf, Kees A. Vissers, 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

Reinaldo A. Bergamaschi, 1993 .

Nagu R. Dhanwada, Reinaldo A. Bergamaschi, Indira Nair, 2005, Des. Autom. Embed. Syst..

John B. Weaver, Reinaldo A. Bergamaschi, Rudra Mukherjee, 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

Subhrajit Bhattacharya, Nagu R. Dhanwada, Youngsoo Shin, 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

Reinaldo A. Bergamaschi, Mauricio Breternitz, Sandro Rigo, 2014, The Journal of Supercomputing.

Louise Trevillyan, Salil Raje, Reinaldo A. Bergamaschi, 1997, IEEE Trans. Very Large Scale Integr. Syst..

Reinaldo A. Bergamaschi, R. Bergamaschi, 1999, DAC '99.

Reinaldo A. Bergamaschi, Raul Camposano, 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..

Reinaldo A. Bergamaschi, 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Sanjoy K. Baruah, Rajesh Gupta, Sebastian Fischmeister, 2013, Design automation for embedded systems.

Reinaldo A. Bergamaschi, Rodrigo Carvalho Rezende, Akihito Kumon, 2014, D Lib Mag..

Wolfgang Rosenstiel, Stuart Swan, Albrecht Mayer, 2005, DATE '05.

Rodolfo Azevedo, Reinaldo A. Bergamaschi, Sandro Rigo, 2012, Sustain. Comput. Informatics Syst..

Reinaldo A. Bergamaschi, Bob Lynch, Richard Ray, 2003, Proceedings 21st International Conference on Computer Design.

Daniel Brand, Reinaldo A. Bergamaschi, Michel Berkelaar, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Wolfgang Rosenstiel, Reinaldo A. Bergamaschi, 2009, Des. Autom. Embed. Syst..

Michael Payer, Reinaldo A. Bergamaschi, Raul Camposano, 1992, Integr..

Yunjian Jiang, Reinaldo A. Bergamaschi, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Wolfgang Rosenstiel, Reinaldo A. Bergamaschi, W. Rosenstiel, 2005, Des. Autom. Embed. Syst..

Subhrajit Bhattacharya, Michael E. Wazlowski, Dirk Hoenicke, 2005, IBM J. Res. Dev..

Daniel Brand, Leon Stok, Shiv Prakash, 1995, ICCAD.

Reinaldo A. Bergamaschi, William R. Lee, 2000, DAC.