Narayanan Vijaykrishnan

发表

Mary Jane Irwin, D. Duarte, Narayanan Vijaykrishnan, 2003, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings..

Mary Jane Irwin, Feng Wang, Narayanan Vijaykrishnan, 2006 .

Mary Jane Irwin, R. Chandramouli, S. Somasundaram, 2002, 15th Annual IEEE International ASIC/SOC Conference.

Narayanan Vijaykrishnan, Dhiraj K. Pradhan, Ricketts Andrew, 2010, DATE 2010.

Mary Jane Irwin, M. Kandemir, Wei Zhang, 2005 .