David Brooks

发表

Robert J. Wood, Xuan Zhang, Gu-Yeon Wei, 2017, IEEE Journal of Solid-State Circuits.

Rob A. Rutenbar, Gu-Yeon Wei, David Brooks, 2019, 2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Joseph Manzano, Marco Minutoli, Vito Giovanni Castellana, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Gu-Yeon Wei, Brandon Reagen, David Brooks, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Gu-Yeon Wei, Xuan Zhang, David Brooks, 2013, 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Gu-Yeon Wei, Paul N. Whatmough, Sae Kyu Lee, 2018, IEEE Journal of Solid-State Circuits.

Gu-Yeon Wei, Mario Lok, David Brooks, 2013, 2013 IEEE Energy Conversion Congress and Exposition.

Xuan Zhang, Gu-Yeon Wei, Mario Lok, 2018, IEEE Transactions on Power Electronics.

Gu-Yeon Wei, Brandon Reagen, David Brooks, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

David Brooks, Jaclyn Pytlarz, Robin Atkins, 2017 .

Gu-Yeon Wei, Sae Kyu Lee, Brandon Reagen, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Xuan Zhang, Gu-Yeon Wei, Sae Kyu Lee, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

David Brooks, Joerg Henkel, 2004, ISLPED '04.

Joseph Manzano, Marco Minutoli, Vito Giovanni Castellana, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Gu-Yeon Wei, Simon Chaput, David Brooks, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.