Jaeha Kim

发表

ByongChan Lim, Jaeha Kim, Mark Horowitz, 2010, Design Automation Conference.

Jaeha Kim, Do-Gyoon Song, 2013, 2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT).

Deog-Kyoon Jeong, Jaeha Kim, Won-Jun Choe, 2007, IEEE Journal of Solid-State Circuits.

Jaeha Kim, Taehoon Jeong, 2015, 2015 IEEE Applied Power Electronics Conference and Exposition (APEC).

Jaeha Kim, Vladimir M. Stojanović, 2004 .

Deog-Kyoon Jeong, Jaeha Kim, 2017, IEEE Journal of Solid-State Circuits.

Jaeha Kim, KyungHoon Kim, 2011, 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS).

Jaeha Kim, Jieun Jang, 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jaeha Kim, Kyunghoon Kim, Seuk Son, 2013, 2013 Symposium on VLSI Circuits.

Jaeha Kim, Taewook Kang, Yoontaek Lee, 2015, IEEE Transactions on Industry Applications.

Jaeha Kim, Taewook Kang, Yoontaek Lee, 2016, IEEE Transactions on Industry Applications.

Jaeha Kim, Do-Gyoon Song, Taehwan Kim, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Jaeha Kim, Seuk Son, Sigang Ryu, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Jaeha Kim, Yunju Choi, Yoontaek Lee, 2016, 2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits).

Jaeha Kim, Juyun Lee, Jeong Yeol Kwon, 2015, 2015 International SoC Design Conference (ISOCC).

Deog-Kyoon Jeong, Jaeha Kim, Gyudong Kim, 2009, IEEE Journal of Solid-State Circuits.

Jaeha Kim, Seuk Son, Jihyun Ryoo, 2012, 2012 International SoC Design Conference (ISOCC).

Jaeha Kim, Minbok Lee, 2015, 2015 9th International Conference on Power Electronics and ECCE Asia (ICPE-ECCE Asia).

Jaeha Kim, Jaeyoung Park, Yonghwan Oh, 2017, 2017 IEEE World Haptics Conference (WHC).

Jaeha Kim, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

Jaeha Kim, Seobin Jung, Jiho Lee, 2014, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jaeha Kim, Mark Horowitz, Kevin D. Jones, 2007, 2007 44th ACM/IEEE Design Automation Conference.

Jaeha Kim, Taewook Kang, 2014, 2014 IEEE Energy Conversion Congress and Exposition (ECCE).

Jaeha Kim, Jong-Phil Kim, Jeha Ryu, 2009 .

Jaeha Kim, Jaeha Kim, 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

Jaeha Kim, Minbok Lee, 2016, IEEE Transactions on Industry Applications.

Jaeha Kim, Jihong Ren, Brian S. Leibowitz, 2008, 2008 IEEE Custom Integrated Circuits Conference.

Jaeha Kim, Mark Horowitz, Kevin D. Jones, 2007, ICCAD 2007.

Jaeha Kim, Jong-Phil Kim, Jeha Ryu, 2009, World Haptics 2009 - Third Joint EuroHaptics conference and Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems.

Jaeha Kim, Jong-Phil Kim, Jeha Ryu, 2010, 2010 IEEE Haptics Symposium.

Jaeha Kim, Eunchul Kang, 2012, 2012 Twenty-Seventh Annual IEEE Applied Power Electronics Conference and Exposition (APEC).

Jaeha Kim, Sangwoo Han, Yoontaek Lee, 2017, 2017 IEEE Energy Conversion Congress and Exposition (ECCE).

Hyun-Chang Kim, Deog-Kyoon Jeong, Jaeha Kim, 2013, IEEE Transactions on Industry Applications.

Jaeha Kim, Myeong-Jae Park, 2014, 2014 International Test Conference.

Jaeha Kim, Yeongmi Kim, Jeha Ryu, 2013, 2013 World Haptics Conference (WHC).

Deog-Kyoon Jeong, Jaeha Kim, Sigang Ryu, 2012, 2012 IEEE International Symposium on Circuits and Systems.

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2006, 2006 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Jaeha Kim, Won-Jun Choe, 2005, 2005 IEEE Asian Solid-State Circuits Conference.

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2005, Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005..

Jaeha Kim, Mark Horowitz, Sangho Youn, 2011, 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).

Jaeha Kim, Taewook Kang, 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.

Jaeha Kim, Jong-Phil Kim, Jeha Ryu, 2009, World Haptics 2009 - Third Joint EuroHaptics conference and Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems.

Jaeha Kim, Do-Gyoon Song, Kyung-Hoon Kim, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Jaeha Kim, Hong Z. Tan, Jaeyoung Park, 2016, EuroHaptics.

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jaeha Kim, M.A. Horowitz, 2002, 2002 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.02CH37315).

Jaeha Kim, Kyunghoon Kim, 2011 .

Jaeha Kim, Seuk Son, Myeong-Jae Park, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Jaeha Kim, Ji-Eun Jang, Si-Jung Yang, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Jaeha Kim, Seuk Son, Sigang Ryu, 2014, IEEE J. Solid State Circuits.

ByongChan Lim, Jaeha Kim, Mark Horowitz, 2009, 2009 IEEE Custom Integrated Circuits Conference.

Jaeha Kim, Jong-Ho Lee, Suhwan Lim, 2018, IEEE Transactions on Electron Devices.

Hyun-Chang Kim, Deog-Kyoon Jeong, Jaeha Kim, 2013, 2013 IEEE Energy Conversion Congress and Exposition.

Jaeha Kim, Mark Horowitz, Jihong Ren, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Jaeha Kim, Seuk Son, Sigang Ryu, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Jaeha Kim, Seobin Jung, Jiho Lee, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jaeha Kim, Hyunseung Lee, Eunseo Kim, 2017, 2017 IEEE Energy Conversion Congress and Exposition (ECCE).

Jaeha Kim, Yonghee Kim, Jaeha Kim, 2019, Annals of Nuclear Energy.

Jaeha Kim, Brian S. Leibowitz, Metha Jeeradit, 2008, ICCAD 2008.

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2004, 2004 IEEE International Solid-State Circuits Conference (IEEE Cat. No.04CH37519).

Jaeha Kim, Yoontaek Lee, Juyun Lee, 2015, 2015 9th International Conference on Power Electronics and ECCE Asia (ICPE-ECCE Asia).

Jaeha Kim, Alice Wang, Abira Sengupta, 2018 .

Deog-Kyoon Jeong, Jaeha Kim, 2003, IEEE Commun. Mag..

Jaeha Kim, Minbok Lee, Myeong-Jae Park, 2015, 2015 Symposium on VLSI Circuits (VLSI Circuits).

Jaeha Kim, 2009, IEEE Transactions on Circuits and Systems II: Express Briefs.

Jaeha Kim, Dean Liu, Mark Horowitz, 2002 .

Jaeha Kim, Nayoung Choi, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Jaeha Kim, Ji-Eun Jang, Myeong-Jae Park, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Jaeha Kim, Seuk Son, Sigang Ryu, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Deog-Kyoon Jeong, Jaeha Kim, Woo-Rham Bae, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Deog-Kyoon Jeong, Jaeha Kim, Bong-Joon Lee, 2005, ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, 2005..

Chang-Gyu Lee, Jaeha Kim, Jeha Ryu, 2015, IEEE Transactions on Haptics.

Hankyu Chi, Deog-Kyoon Jeong, Jaeha Kim, 2008, 2008 IEEE Symposium on VLSI Circuits.

Jaeha Kim, Jay Maxey, John G. Maneatis, 2003, 2003 IEEE International Solid-State Circuits Conference, 2003. Digest of Technical Papers. ISSCC..

Jaeha Kim, Yonghwan Oh, Woohyeok Choi, 2015, 2015 IEEE International Conference on Mechatronics (ICM).

Jaeha Kim, Hong Z. Tan, Jaeyoung Park, 2017, 2017 IEEE World Haptics Conference (WHC).

Jaeha Kim, Yunju Choi, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Jaeha Kim, Wootaek Lim, Myeongjae Park, 2013, 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Jaeha Kim, Minbok Lee, Myeong-Jae Park, 2016, ESSCIRC Conference 2016: 42nd European Solid-State Circuits Conference.

Jaeha Kim, Jihong Ren, Brian S. Leibowitz, 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jaeha Kim, Mark Horowitz, Gu-Yeon Wei, 2003, IEEE Trans. Circuits Syst. II Express Briefs.

Jaeha Kim, Mark Horowitz, Metha Jeeradit, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Jaeha Kim, Brian S. Leibowitz, Metha Jeeradit, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Jaeha Kim, Chih-Kong Ken Yang, Jared Zerbe, 2011, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jaeha Kim, Minbok Lee, Myeong-Jae Park, 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

Jaeha Kim, Eunchul Kang, Dohwan Oh, 2011, 8th International Conference on Power Electronics - ECCE Asia.

Jaeha Kim, Kyung Hoon Kim, Seuk Son, 2012, 2012 IEEE Asian Solid State Circuits Conference (A-SSCC).

Jaeha Kim, Ji-Eun Jang, Myeong-Jae Park, 2012, Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.

Jaeha Kim, Aliazam Abbasfar, Jared Zerbe, 2010, IEEE Custom Integrated Circuits Conference 2010.

Jaeha Kim, Seuk Son, Sigang Ryu, 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).

Jaeha Kim, Joon-Hyuk Chang, Jeongnam Youn, 2018, 2018 International Conference on Network Infrastructure and Digital Content (IC-NIDC).

Deog-Kyoon Jeong, Jaeha Kim, Jeong-Kyoum Kim, 2008, 2008 IEEE Asian Solid-State Circuits Conference.

Jaeha Kim, Yunju Choi, Minbok Lee, 2016, IEEE Transactions on Industry Applications.

Hyun-Chang Kim, Deog-Kyoon Jeong, Jaeha Kim, 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.

Jaeha Kim, Jeha Ryu, Chang Hoon Seo, 2008, 2008 International Conference on Control, Automation and Systems.

Jaeha Kim, Chenjie Gu, Sangho Youn, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Jaeha Kim, Joon-Hyuk Chang, Jaehun Kim, 2018, 2018 International Conference on Network Infrastructure and Digital Content (IC-NIDC).

Jaeha Kim, Jaeha Kim, 2016, IEEE Solid-State Circuits Magazine.

Jaeha Kim, Ji-Eun Jang, Si-Jung Yang, 2014, Proceedings of the IEEE 2014 Custom Integrated Circuits Conference.

Jaeha Kim, Yunju Choi, Minbok Lee, 2014, 2014 IEEE Energy Conversion Congress and Exposition (ECCE).

Jaeha Kim, Yunju Choi, Seobin Jung, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jaeha Kim, Jong-Phil Kim, Jeha Ryu, 2009, PRESENCE: Teleoperators and Virtual Environments.

Suhwan Kim, Deog-Kyoon Jeong, Jaeha Kim, 2007, 2007 IEEE Asian Solid-State Circuits Conference.

Jaeha Kim, Wootaek Lim, Myeong-Jae Park, 2016 .

Jaeha Kim, Mark Horowitz, Kevin D. Jones, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Jaeha Kim, Yunju Choi, Yoontaek Lee, 2018, IEEE Journal of Solid-State Circuits.

Jaeha Kim, Dennis Michael Fischette, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Jaeha Kim, Sangho Youn, 2013, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jaeha Kim, Myeongjae Park, Taewook Kang, 2013, 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Jaeha Kim, Jung Ho Ahn, John Kim, 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.

Jaeha Kim, Jong-Phil Kim, Jeha Ryu, 2008, 2008 IEEE/ASME International Conference on Advanced Intelligent Mechatronics.

ByongChan Lim, Jaeha Kim, Mark Horowitz, 2015, IEEE Design & Test.

Chang-Gyu Lee, Jaeha Kim, Yeongmi Kim, 2013, Signal Process. Image Commun..

Chang-Gyu Lee, Jaeha Kim, Jeha Ryu, 2010, ICCAS 2010.