Carmen G. Almudéver

发表

Xiang Fu, Koen Bertels, L. Riesebos, 2018, ArXiv.

Xiang Fu, Koen Bertels, Dan Iorga, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Antonio Rubio, Esteve Amat, Ramon Canal, 2014, Microelectron. J..

Koen Bertels, L. Riesebos, Carmen G. Almudéver, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Montserrat Nafría, Javier Martín-Martínez, Carmen G. Almudéver, 2012, 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS).

Koen Bertels, L. Riesebos, J. van Someren, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

Edoardo Charbon, Fabio Sebastiano, Koen Bertels, 2016, Conf. Computing Frontiers.

Koen Bertels, M. A. Rol, J. van Someren, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Maud Vinet, Louis Hutin, Edoardo Charbon, 2018, 2018 13th International Conference on Design & Technology of Integrated Systems In Nanoscale Era (DTIS).

Antonio Rubio, Carmen G. Almudéver, C. G. Almudever, 2015, Microelectron. Reliab..

Xiang Fu, Edoardo Charbon, Fabio Sebastiano, 2016, Conf. Computing Frontiers.

Antonio Rubio, Sergio Gómez, Esteve Amat, 2013, Microelectron. J..

Pierre-Emmanuel Gaillardon, Koen Bertels, Carmen G. Almudéver, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Xiang Fu, Koen Bertels, Nader Khammassi, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Xiang Fu, Koen Bertels, M. A. Rol, 2018, IEEE Micro.

Montserrat Nafría, Javier Martín-Martínez, Carmen G. Almudéver, 2014, Microelectron. Reliab..

Eduard Alarcón, Carmen G. Almudéver, Sergi Abadal, 2021, NANOCOM.

Eduard Alarcón, Carmen G. Almudéver, Sergi Abadal, 2021, CF.

Carmen G. Almudéver, C. G. Almudever, Eduard Alarcón, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Eduard Alarcón, Carmen G. Almudéver, Sergi Abadal, 2021, IEEE Micro.