Arnab Raha

发表

Anand Raghunathan, Arnab Raha, Swagath Venkataramani, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Dieter Hogrefe, Arnab Raha, Mrinal K. Naskar, 2012, 2012 8th International Wireless Communications and Mobile Computing Conference (IWCMC).

Arnab Raha, Vijay Raghunathan, Younghyun Kim, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Arnab Raha, Vijay Raghunathan, 2017, ACM Trans. Embed. Comput. Syst..

Arnab Raha, Amitava Mukherjee, Mrinal K. Naskar, 2012, ArXiv.

Dieter Hogrefe, Arnab Raha, Mrinal K. Naskar, 2012, 2012 5th International Conference on New Technologies, Mobility and Security (NTMS).

Arnab Raha, Vijay Raghunathan, Hrishikesh Jayakumar, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Arnab Raha, Vijay Raghunathan, Hrishikesh Jayakumar, 2015, 2015 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).

Narayanan Vijaykrishnan, Arnab Raha, Sumeet Kumar Gupta, 2019, 2019 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Arnab Raha, Vijay Raghunathan, Hrishikesh Jayakumar, 2017, IEEE Transactions on Computers.

Kaushik Roy, Anand Raghunathan, Arnab Raha, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Arnab Raha, Vijay Raghunathan, Hrishikesh Jayakumar, 2016 .

Arnab Raha, Vijay Raghunathan, Arnab Raha, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Arnab Raha, Amitava Mukherjee, Mrinal K. Naskar, 2012, ICACCI '12.

Anand Raghunathan, Arnab Raha, Vijay Raghunathan, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Arnab Raha, Vijay Raghunathan, Hrishikesh Jayakumar, 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

Arnab Raha, Vijay Raghunathan, Hrishikesh Jayakumar, 2014, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems.

Arnab Raha, Vijay Raghunathan, Hrishikesh Jayakumar, 2016, ACM Trans. Embed. Comput. Syst..

Arnab Raha, Vijay Raghunathan, Younghyun Kim, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Arnab Raha, Vijay Raghunathan, Arnab Raha, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dieter Hogrefe, Arnab Raha, Mrinal K. Naskar, 2012, 2012 IEEE 11th International Conference on Trust, Security and Privacy in Computing and Communications.

Arnab Raha, Vijay Raghunathan, Soubhagya Sutar, 2017, IEEE Transactions on Multi-Scale Computing Systems.

Arnab Raha, Mrinal K. Naskar, Shaik Sahil Babu, 2011, Wirel. Sens. Netw..

Arnab Raha, Vijay Raghunathan, Gregery T. Buzzard, 2017, 2017 American Control Conference (ACC).

Arnab Raha, Mrinal Kanti Naskar, Debaprasad De, 2020, 2020 21st International Symposium on Quality Electronic Design (ISQED).

Arnab Raha, Vijay Raghunathan, 2017, IEEE Embedded Systems Letters.

Arnab Raha, Vijay Raghunathan, Jeffrey D. Tew, 2017, ACM Trans. Embed. Comput. Syst..

Arnab Raha, Vijay Raghunathan, Hrishikesh Jayakumar, 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Dieter Hogrefe, Arnab Raha, Mrinal K. Naskar, 2014, Int. J. Trust. Manag. Comput. Commun..

Arnab Raha, Vijay Raghunathan, Hrishikesh Jayakumar, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Dieter Hogrefe, Arnab Raha, Mrinal K. Naskar, 2011, 2011 Fifth IEEE International Conference on Advanced Telecommunication Systems and Networks (ANTS).

Arnab Raha, Vijay Raghunathan, Kaushik Roy, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Arnab Raha, Sumeet Kumar Gupta, Sandeep Krishna Thirumala, 2020, 2020 IEEE 38th International Conference on Computer Design (ICCD).

Arnab Raha, Vijay Raghunathan, Woo Suk Lee, 2015, ACM J. Emerg. Technol. Comput. Syst..

Anand Raghunathan, Arnab Raha, Swagath Venkataramani, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Arnab Raha, Vijay Raghunathan, Sanjay G. Rao, 2015, 2015 IEEE Wireless Communications and Networking Conference (WCNC).

Arnab Raha, Vijay Raghunathan, Soubhagya Sutar, 2016, 2016 International Conference on Compliers, Architectures, and Sythesis of Embedded Systems (CASES).

Narayanan Vijaykrishnan, Arnab Raha, Vijay Raghunathan, 2018, ISLPED.

Arnab Raha, Vijay Raghunathan, Gregery T. Buzzard, 2020, IEEE Transactions on Control Systems Technology.

Arnab Raha, Vijay Raghunathan, Jacob R. Stevens, 2017, ACM Trans. Embed. Comput. Syst..

Arnab Raha, Suriyaprakash Natarajan, Kanad Basu, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Arnab Raha, Kanad Basu, Shamik Kundu, 2021, 2021 22nd International Symposium on Quality Electronic Design (ISQED).

Arnab Raha, Amitava Mukherjee, Janet Paluh, 2021, 2021 34th International Conference on VLSI Design and 2021 20th International Conference on Embedded Systems (VLSID).

Arnab Raha, Vijay Raghunathan, Debabrata Mohapatra, 2021, 2021 IEEE 39th International Conference on Computer Design (ICCD).

Deepak Mathaikutty, Arnab Raha, Debabrata Mohapatra, 2021, 2021 34th International Conference on VLSI Design and 2021 20th International Conference on Embedded Systems (VLSID).

Arnab Raha, Mrinal Kanti Naskar, Debaprasad De, 2021, 2021 34th International Conference on VLSI Design and 2021 20th International Conference on Embedded Systems (VLSID).

Arnab Raha, Sibendu Paul, Bitan Banerjee, 2022, Wirel. Pers. Commun..