Sicheng Li

发表

Yiran Chen, Yu Wang, Hai Li, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Hai Li, Chunpeng Wu, 2016, IET Cyper-Phys. Syst.: Theory & Appl..

Yiran Chen, Hai Li, Wujie Wen, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Hai Li, Bing Li, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Shuai Liu, Sicheng Li, Sicheng Li, 2019, Neural Computing and Applications.

Yu Wang, Qinru Qiu, Chunpeng Wu, 2015, 2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines.

Yiran Chen, Chunpeng Wu, Sicheng Li, 2016, 2016 14th ACM/IEEE Symposium on Embedded Systems For Real-time Multimedia (ESTIMedia).

Yiran Chen, Hai Li, Chunpeng Wu, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Yiran Chen, Song Han, Yu Wang, 2017, 2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Yu Wang, Yiran Chen, Hai Li, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).