Wonil Choi

发表

John M. Henderson, Wonil Choi, Steven G. Luke, 2014, Journal of Cognitive Neuroscience.

Myong-Soon Park, Wonil Choi, Jung Hun Kang, 2006, Fourth International Conference on Software Engineering Research, Management and Applications (SERA'06).

Mahmut T. Kandemir, Myoungsoo Jung, Wonil Choi, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mahmut T. Kandemir, Shekhar Srikantaiah, Myoungsoo Jung, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Mahmut T. Kandemir, Chita R. Das, Myoungsoo Jung, 2018, HPDC.

Mahmut T. Kandemir, Chita R. Das, Myoungsoo Jung, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

John Shalf, Mahmut T. Kandemir, David Donofrio, 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

Mahmut T. Kandemir, Nam Sung Kim, Jie Zhang, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

John Shalf, David Donofrio, Myoungsoo Jung, 2015 .

John M. Henderson, Wonil Choi, Steven G. Luke, 2015, NeuroImage.

John Shalf, Mahmut T. Kandemir, Chao Yang, 2014, Sci. Program..

Myong-Soon Park, Kyung-Taeg Rho, Wonil Choi, 2008, J. Inf. Sci. Eng..

Mohammad Arjomand, Mahmut T. Kandemir, Myoungsoo Jung, 2017, Proc. ACM Meas. Anal. Comput. Syst..

John Shalf, Mahmut T. Kandemir, Chao Yang, 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

John M. Henderson, Wonil Choi, J. Henderson, 2015, Journal of Cognitive Neuroscience.

Mahmut T. Kandemir, Shekhar Srikantaiah, Myoungsoo Jung, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Mahmut Kandemir, Myoungsoo Jung, Wonil Choi, 2020, ASPLOS.

John M. Henderson, Wonil Choi, Fernanda Ferreira, 2016, NeuroImage.

Mohammad Arjomand, Mahmut T. Kandemir, Myoungsoo Jung, 2017, SIGMETRICS.

Mahmut T. Kandemir, Jaesoo Lee, Myoungsoo Jung, 2016, 2016 5th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Mahmut T. Kandemir, Myoungsoo Jung, Wonil Choi, 2019, HotStorage.

John Shalf, Mahmut T. Kandemir, Myoungsoo Jung, 2014, ASPLOS.

John Shalf, Mahmut T. Kandemir, David Donofrio, 2016, TOS.

Mahmut T. Kandemir, Myoungsoo Jung, Wonil Choi, 2021, ASPLOS.

Mahmut T. Kandemir, Chita R. Das, Myoungsoo Jung, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).