Bruce Jacob

发表

Bruce Jacob, Sadagopan Srinivasan, Vinodh Cuppu, 2001, CASES '01.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Ishwar Bhati, Zeshan Chishti, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Bruce Jacob, Jim Stevens, Paul Tschirhart, 2015, MEMSYS.

Bruce Jacob, Muhammad Y. Afridi, Allen R. Hefner, 2004 .

Trevor Mudge, Bruce Jacob, 1997 .

Bruce Jacob, Vinodh Cuppu, V. Cuppu, 2001, Proceedings 28th Annual International Symposium on Computer Architecture.

Douglas W. Oard, Bruce Jacob, Kareem Darwish, 2003 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Charles B. Silio, Justin Teller, 2005, MSP '05.

Paul M. Carpenter, Eduard Ayguadé, Bruce Jacob, 2019, SIGMETRICS.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Ankush Varma, Igor Kozintsev, 2008, TECS.

Bruce Jacob, Elliott Cooper-Balis, B. Jacob, 2010 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Trevor N. Mudge, Bruce Jacob, B. Jacob, 1996, EW 7.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Trevor N. Mudge, Bruce Jacob, Peter M. Chen, 1996, IEEE Trans. Computers.

Trevor N. Mudge, Bruce Jacob, Brian Davis, 2000, ISHPC.

Bruce Jacob, 2014, 2014 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV).

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Ankush Varma, Eric Debes, 2005, IS&T/SPIE Electronic Imaging.

Bruce Jacob, Jim Stevens, Paul Tschirhart, 2016, MEMSYS.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Paul Kohout, Brinda Ganesh, 2003, First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).

Trevor Mudge, Bruce Jacob, B. Jacob, 1995 .

Bruce Jacob, Allen R. Hefner, Ankush Varma, 2006, CASES '06.

Bruce Jacob, Sadagopan Srinivasan, Bharath Iyer, 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..

Trevor N. Mudge, Bruce Jacob, B. Jacob, 2001, IEEE Trans. Computers.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Elliott Cooper-Balis, B. Jacob, 2010, IEEE Micro.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Shuvra S. Bhattacharyya, 2002, TECS.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Uzi Vishkin, Bruce Jacob, Joseph Nuzman, 1998 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Donald Yeung, Aamer Jaleel, Bruce Jacob, 2005, IEEE International Symposium on Performance Analysis of Systems and Software, 2005. ISPASS 2005..

Natalie D. Enright Jerger, Bruce Jacob, Li-Shiuan Peh, 2009 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2007 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Trevor Mudge, Bruce Jacob, Vinodh Cuppu, 2000 .

Trevor N. Mudge, Bruce Jacob, B. Jacob, 1997, Proceedings Third International Symposium on High-Performance Computer Architecture.

Donald Yeung, Bruce Jacob, Devesh Singh, 2019, MEMSYS.

Aamer Jaleel, Bruce Jacob, B. Jacob, 2005, 11th International Symposium on High-Performance Computer Architecture.

Bruce Jacob, Ankush Varma, Igor Kozintsev, 2007, TECS.

Trevor N. Mudge, Bruce Jacob, Vinodh Cuppu, 1999, ISCA.

Aamer Jaleel, Bruce Jacob, David Wang, 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Aamer Jaleel, Bruce Jacob, David Wang, 2005, CARN.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Zeshan Chishti, Zeshan A. Chishti, 2016, MEMSYS.

Bruce Jacob, B. Jacob, 2009, The Memory System: You Can't Avoid It, You Can't Ignore It, You Can't Fake It.

Bruce Jacob, Mu-Tien Chang, Joe Gross, 2012, 2012 IEEE 20th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.

Bruce Jacob, B. Jacob, 1996, Organised Sound.

Trevor Mudge, Bruce Jacob, Brian Davis, 2000 .

Trevor Mudge, Bruce Jacob, B. Jacob, 1997 .

Bruce Jacob, B. Jacob, 1999 .

Bruce Jacob, Hongxia Wang, Samuel Rodríguez, 2005, ASP-DAC.

John Shalf, Bruce Jacob, Karl S. Hemmert, 2011, MSPC '11.

Aamer Jaleel, Bruce Jacob, Matthew Mattina, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Bruce Jacob, Karl S. Hemmert, David Banks, 2016, MEMSYS.

Bruce Jacob, B. Jacob, 2000 .

Donald Yeung, Bruce Jacob, Meenatchi Jagasivamani, 2019, IEEE Micro.

Donald Yeung, Bruce Jacob, Devesh Singh, 2018, MEMSYS.

Bruce Jacob, Mu-Tien Chang, 2011, 2011 IEEE International SOC Conference.

Norbert Wehn, Bruce Jacob, Christian Weis, 2017, MEMSYS.

Bruce Jacob, Mu-Tien Chang, Shih-Lien Lu, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Bruce Jacob, Paul Rosenfeld, Elliott Cooper-Balis, 2011, IEEE Computer Architecture Letters.

Eduard Ayguadé, Bruce Jacob, Milan Radulovic, 2018, MEMSYS.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Po-Chun Huang, Shang Li, 2018, 2018 IEEE 20th International Conference on High Performance Computing and Communications; IEEE 16th International Conference on Smart City; IEEE 4th International Conference on Data Science and Systems (HPCC/SmartCity/DSS).

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, B. Jacob, 1995, ICMC.

Trevor N. Mudge, Bruce Jacob, B. Jacob, 1998, IEEE Micro.

Trevor N. Mudge, Bruce Jacob, Peter M. Chen, 1997, IEEE Trans. Computers.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, B. Jacob, 2003, IEEE Micro.

Bruce Jacob, B. Jacob, 2001 .

Bruce Jacob, Samuel Rodríguez, B. Jacob, 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

Trevor N. Mudge, Bruce Jacob, B. Jacob, 1998, Computer.

Bruce Jacob, Muhammad Y. Afridi, Ankush Varma, 2006 .

Bruce Jacob, Shuvra S. Bhattacharyya, 2003, TECS.

Bruce Jacob, Shang Li, B. Jacob, 2019, MEMSYS.

Trevor Mudge, Bruce Jacob, Brian Davis, 2001 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Ishwar Bhati, Zeshan Chishti, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Mu-Tien Chang, Ishwar Bhati, 2016, IEEE Transactions on Computers.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Karl S. Hemmert, Brian W. Barrett, 2006, PERV.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Aamer Jaleel, Bruce Jacob, B. Jacob, 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

Bruce Jacob, 2016, IEEE Computer Architecture Letters.

Bruce Jacob, Shang Li, Dhiraj Reddy, 2018, MEMSYS.

Bruce Jacob, Ankur Srivastava, Shang Li, 2020, IEEE Computer Architecture Letters.

Bruce Jacob, Rommel Sánchez Verdejo, Petar Radojković, 2019, MEMSYS.

Bruce Jacob, David R. Kaeli, 1999, HPCA.

Aamer Jaleel, Bruce Jacob, 2006, IEEE Transactions on Computers.

Trevor N. Mudge, Bruce Jacob, Vinodh Cuppu, 2001, IEEE Trans. Computers.

Bruce Jacob, Christine Smit, Chris Collins, 2003, IEEE Trans. Computers.

Paul M. Carpenter, Eduard Ayguadé, Bruce Jacob, 2019, Proc. ACM Meas. Anal. Comput. Syst..

Bruce Jacob, Muhammad Y. Afridi, Allen R. Hefner, 2003, International Semiconductor Device Research Symposium, 2003.

Bruce Jacob, Ingrid Verbauwhede, Lejla Batina, 2008 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Uzi Vishkin, Bruce Jacob, Manoj Franklin, 1999 .

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Mainak Sen, Ankush Varma, 2003, CASES '03.

Bruce Jacob, Christine Smit, Chris Collins, 2001, CASES '01.

Bruce Jacob, Spencer W. Ng, David T. Wang, 2008 .

Bruce Jacob, Paul Rosenfeld, Elliott Cooper-Balis, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Bruce Jacob, Jian Huang, Weiwei Jia, 2021, MICRO.

Donald Yeung, Bruce Jacob, Devesh Singh, 2021, ACM Trans. Archit. Code Optim..

Bruce Jacob, Bruce Jacob Pdf, 2017 .

Trevor Mudge, Bruce Jacob, Peter M. Chen, 1996 .