Sukhan Lee

发表

Sukhan Lee, 1989 .

Pradeep Dubey, Sukhan Lee, O Seongil, 2016, IEEE Micro.

Sukhan Lee, Y. Choi, 1992, [Proceedings 1992] IJCNN International Joint Conference on Neural Networks.

Sukhan Lee, Antal K. Bejczy, 1984 .

Pradeep Dubey, Sukhan Lee, O Seongil, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Sukhan Lee, O Seongil, Jung Ho Ahn, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Sukhan Lee, Jung Ho Ahn, Nam Sung Kim, 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

Sukhan Lee, George Saridis, G. Saridis, 1982, 1982 21st IEEE Conference on Decision and Control.

Sukhan Lee, Jung Ho Ahn, Sheng Li, 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).