Nam Sung Kim

发表

Hao Wang, Nam Sung Kim, Abhishek A. Sinkar, 2014, Fifteenth International Symposium on Quality Electronic Design.

Nam Sung Kim, Chang-Kyo Lee, Hyung-Joon Chi, 2021, IEEE Journal of Solid-State Circuits.

Ronald G. Dreslinski, Trevor N. Mudge, Nam Sung Kim, 2018, MEMSYS.

Nam Sung Kim, Zhenhong Liu, Daniel Wong, 2018, ISLPED.

Nam Sung Kim, Abhishek A. Sinkar, Michael J. Schulte, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nam Sung Kim, Jie Zhang, Mohammad Alian, 2020, 2020 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Nam Sung Kim, Paula Aguilera, Katherine Morrow, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Qiang Xu, Nam Sung Kim, Todd Mytkowicz, 2016, IEEE Design & Test.

Yu Cao, Nam Sung Kim, Hamid Reza Ghasemi, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Nam Sung Kim, Qiang Xu, Mytkowicz Todd, 2016 .

Nam Sung Kim, Hyeran Jeon, Murali Annavaram, 2015, MICRO.

Nam Sung Kim, Hadi Esmaeilzadeh, Amir Yazdanbakhsh, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

John Shalf, Jie Zhang, Mahmut Kandemir, 2017, IEEE Computer Architecture Letters.

Nam Sung Kim, Ismail Akturk, Ulya R. Karpuzcu, 2015, IEEE Micro.

Qiang Xu, Qian Zhang, Nam Sung Kim, 2016, ISLPED.

Azadeh Davoodi, Nam Sung Kim, Abhishek A. Sinkar, 2009, ISLPED.

Josep Torrellas, Nam Sung Kim, Aditya Agrawal, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

David Blaauw, Trevor N. Mudge, Nam Sung Kim, 2003, ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).

Josep Torrellas, Nam Sung Kim, Ulya R. Karpuzcu, 2013, IEEE Micro.

Nam Sung Kim, Michael J. Schulte, Syed Zohaib Gilani, 2012, 2012 IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors.

Jinjun Xiong, Nam Sung Kim, Deming Chen, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Nam Sung Kim, Daehoon Kim, Ki-Dong Kang, 2020, IEEE Computer Architecture Letters.

Nam Sung Kim, Michael J. Schulte, Syed Zohaib Gilani, 2012, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Jie Zhang, David Donofrio, Nam Sung Kim, 2019, IEEE Transactions on Parallel and Distributed Systems.

Trevor N. Mudge, Nam Sung Kim, David Roberts, 2008, Microprocess. Microsystems.

Nam Sung Kim, Jungseob Lee, N. Kim, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Trevor N. Mudge, Nam Sung Kim, Todd M. Austin, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Nam Sung Kim, Stark C. Draper, Hamid Reza Ghasemi, 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

Nam Sung Kim, Soojung Ryu, Abhishek A. Sinkar, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Nam Sung Kim, Soojung Ryu, Michael J. Schulte, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

David Blaauw, Krisztián Flautner, Trevor N. Mudge, 2002, ISCA.

Qiang Xu, Nam Sung Kim, Todd Mytkowicz, 2016, IEEE Des. Test.

Jung Ho Ahn, Nam Sung Kim, Amin Farmahini Farahani, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Taejoon Park, Nam Sung Kim, Stark C. Draper, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Josep Torrellas, Nam Sung Kim, Abhishek A. Sinkar, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Jung Ho Ahn, Nam Sung Kim, Young Hoon Son, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Trevor Mudge, Nam Sung Kim, Richard B. Brown, 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..

Erika Gunadi, Mikko H. Lipasti, Nam Sung Kim, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Nam Sung Kim, Hadi Esmaeilzadeh, Pejman Lotfi-Kamran, 2018, PACT.

Nam Sung Kim, Abhishek A. Sinkar, Michael J. Schulte, 2012, DAC Design Automation Conference 2012.

Hao Wang, Nam Sung Kim, Michael J. Schulte, 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).

Trevor Mudge, David Blaauw, Nam Sung Kim, 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..

Nam Sung Kim, Michael J. Schulte, Syed Zohaib Gilani, 2014, IEEE Transactions on Computers.

Trevor Mudge, Nam Sung Kim, Todd Austin, 2002 .

Hao Wang, Nam Sung Kim, Abhishek A. Sinkar, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Nam Sung Kim, Indrani Paul, Hamid Reza Ghasemi, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Nam Sung Kim, Ken Choi, Abhishek A. Sinkar, 2009, ISLPED.

Nam Sung Kim, Stark C. Draper, Yanpei Liu, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Trevor Mudge, Nam Sung Kim, Dirk Grunwald, 2002 .

Nam Sung Kim, Michael J. Schulte, Vijay Sathisha, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

Nam Sung Kim, Zhenhong Liu, Murali Annavaram, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Jizeng Wei, Jung Ho Ahn, Nam Sung Kim, 2016, IEICE Electron. Express.

Mahmut T. Kandemir, Nam Sung Kim, Jie Zhang, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Trevor N. Mudge, Dennis Sylvester, Nam Sung Kim, 2005, Design, Automation and Test in Europe.

Sang Hyuk Son, Taejoon Park, Nam Sung Kim, 2014, Sensors.

Nam Sung Kim, Michael J. Schulte, Daniel W. Chang, 2011, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

Erika Gunadi, Mikko H. Lipasti, Nam Sung Kim, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Mikko H. Lipasti, Nam Sung Kim, David J. Palframan, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Mikko H. Lipasti, Nam Sung Kim, David J. Palframan, 2011, 2011 Design, Automation & Test in Europe.

David Blaauw, Krisztián Flautner, Trevor N. Mudge, 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nam Sung Kim, Abhishek A. Sinkar, Youngsoo Shin, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mikko H. Lipasti, Nam Sung Kim, David J. Palframan, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Nam Sung Kim, Alexander G. Schwing, Amir Salman Avestimehr, 2018, NeurIPS.

Parameswaran Ramanathan, Nam Sung Kim, Kewal K. Saluja, 2011, 2011 12th International Symposium on Quality Electronic Design.

Nam Sung Kim, Naifeng Jing, Li Jiang, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Azadeh Davoodi, Nam Sung Kim, Yu Hen Hu, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Nam Sung Kim, Dong Kai Wang, 2019, 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Mohammad Alian, Nam Sung Kim, Jaehyuk Huh, 2017, SoCC.

Nam Sung Kim, Hyeran Jeon, Zhenhong Liu, 2018, 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Nam Sung Kim, Michael J. Schulte, Katherine Compton, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Sukhan Lee, Jung Ho Ahn, Nam Sung Kim, 2018, IEEE Access.

Taewhan Kim, Nam Sung Kim, Jungseob Lee, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Nam Sung Kim, Hadi Esmaeilzadeh, Mohammad Alian, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jinjun Xiong, Nam Sung Kim, Deming Chen, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Nam Sung Kim, Taejoon Park, Srinivasan Narayanamoorthy, 2014 .

Taejoon Park, Nam Sung Kim, Hadi Esmaeilzadeh, 2018, IEEE Micro.

Jizhou Sun, Nam Sung Kim, Bingchao Li, 2017, 2017 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Hao Wang, Nam Sung Kim, Michael J. Schulte, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

Robert A. van de Geijn, Andreas Gerstlauer, Nam Sung Kim, 2012, 2012 IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors.

Josep Torrellas, Dimitrios Skarlatos, Nam Sung Kim, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Nam Sung Kim, Ismail Akturk, Ulya R. Karpuzcu, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Nam Sung Kim, Rakesh Kumar, Henry Duwe, 2016, ISLPED.

Nam Sung Kim, Wen-Mei Hwu, Mohammad Alian, 2018, IEEE Computer Architecture Letters.

David Blaauw, Trevor N. Mudge, Nam Sung Kim, 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nam Sung Kim, Stark C. Draper, Hamid Reza Ghasemi, 2010, 2010 IEEE International Conference on Computer Design.

Nam Sung Kim, Mohammad Alian, N. Kim, 2019, MICRO.

Mikko H. Lipasti, Nam Sung Kim, David J. Palframan, 2014, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA).

Nam Sung Kim, Amin Farmahini Farahani, Katherine Morrow, 2014, 2014 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Nam Sung Kim, Hadi Esmaeilzadeh, Kambiz Samadi, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Sukhan Lee, O Seongil, Jung Ho Ahn, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Nam Sung Kim, Abhishek A. Sinkar, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Nam Sung Kim, Stark C. Draper, Yanpei Liu, 2013, 2013 47th Annual Conference on Information Sciences and Systems (CISS).

Nam Sung Kim, Michael J. Schulte, Katherine Compton, 2012, IEEE International Symposium on High-Performance Comp Architecture.

Nam Sung Kim, Hadi Esmaeilzadeh, Kambiz Samadi, 2018, 2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

David Blaauw, Krisztián Flautner, Shidhartha Das, 2003, MICRO.

Trevor N. Mudge, Dennis Sylvester, Nam Sung Kim, 2005, ACM Great Lakes Symposium on VLSI.

Josep Torrellas, Dimitrios Skarlatos, Nam Sung Kim, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Hao Wang, Nam Sung Kim, Abhishek A. Sinkar, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Nam Sung Kim, Jae Hoon Lee, Seouk-Kyu Choi, 2021, IEEE Journal of Solid-State Circuits.

David Blaauw, Krisztián Flautner, Shidhartha Das, 2004, IEEE Micro.

David Donofrio, Nam Sung Kim, Myoungsoo Jung, 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

Nam Sung Kim, Daehoon Kim, Seunghak Lee, 2019, IEEE Computer Architecture Letters.

Jizeng Wei, Jizhou Sun, Nam Sung Kim, 2019, ACM Trans. Archit. Code Optim..

Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, 2003, Computer.

Hao Wang, Nam Sung Kim, Myoungsoo Jung, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Jinjun Xiong, Nam Sung Kim, Deming Chen, 2017, 2017 IEEE International Conference on Rebooting Computing (ICRC).

Jinjun Xiong, Nam Sung Kim, Rakesh Nagi, 2017, 2017 IEEE High Performance Extreme Computing Conference (HPEC).

Trevor N. Mudge, Nam Sung Kim, David Roberts, 2007, 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD 2007).

Trevor N. Mudge, Nam Sung Kim, 2003, ISLPED '03.

Nam Sung Kim, Daehoon Kim, Mohammad Alian, 2015, IEEE Computer Architecture Letters.

Nam Sung Kim, Ulya R. Karpuzcu, Hamid Reza Ghasemi, 2015, 2015 33rd IEEE International Conference on Computer Design (ICCD).

Minyi Guo, Nam Sung Kim, Naifeng Jing, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nam Sung Kim, Ulya R. Karpuzcu, S. Karen Khatamifard, 2016, 2016 IEEE 34th International Conference on Computer Design (ICCD).

Mohammad Alian, Nam Sung Kim, Daehoon Kim, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Nam Sung Kim, Jingwen Leng, Vijay Janapa Reddi, 2013, ISCA.

Jung Ho Ahn, Nam Sung Kim, Michael J. Schulte, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Nam Sung Kim, Michael J. Schulte, Syed Zohaib Gilani, 2011, 2011 Design, Automation & Test in Europe.

Nam Sung Kim, Hamid Reza Ghasemi, 2014, 2014 23rd International Conference on Parallel Architecture and Compilation (PACT).

Nam Sung Kim, Alexander G. Schwing, Amir Salman Avestimehr, 2018, NeurIPS.

Nam Sung Kim, Michael J. Schulte, Syed Zohaib Gilani, 2011, ASAP 2011 - 22nd IEEE International Conference on Application-specific Systems, Architectures and Processors.

Sukhan Lee, Jung Ho Ahn, Nam Sung Kim, 2017, 2017 IEEE International Symposium on Workload Characterization (IISWC).

Nam Sung Kim, Hyuck Han, Yongseok Son, 2020, IEEE Transactions on Knowledge and Data Engineering.

Nam Sung Kim, Myoungsoo Jung, Jie Zhang, 2018, 2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Nam Sung Kim, Yu Hen Hu, Charlie Chung-Ping Chen, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Mikko H. Lipasti, Nam Sung Kim, Michael Mishkin, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Taejoon Park, Nam Sung Kim, Abhishek A. Sinkar, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nam Sung Kim, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Taejoon Park, Nam Sung Kim, Syed Zohaib Gilani, 2014, Microprocess. Microsystems.

Nam Sung Kim, Hyesook Park, Zhenhong Liu, 2015 .

Taejoon Park, Nam Sung Kim, DaeHan Ahn, 2017, IEEE Transactions on Biomedical Engineering.

Nam Sung Kim, Michael M. Swift, Sankaralingam Panneerselvam, 2015, USENIX Annual Technical Conference.

Mohammad Alian, Nam Sung Kim, Gábor Dózsa, 2017, 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Hwanju Kim, Nam Sung Kim, Jaehyuk Huh, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Nam Sung Kim, Hadi Esmaeilzadeh, Cliff Young, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

O Seongil, Jung Ho Ahn, Nam Sung Kim, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Mahmut Kandemir, Nam Sung Kim, Jie Zhang, 2020, IEEE Computer Architecture Letters.

Mikko H. Lipasti, Nam Sung Kim, David J. Palframan, 2013, IEEE Micro.

Azadeh Davoodi, Mikko H. Lipasti, Nam Sung Kim, 2015, ACM Great Lakes Symposium on VLSI.

Hao Wang, Jung Ho Ahn, Nam Sung Kim, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Naresh R. Shanbhag, Nam Sung Kim, Mingu Kang, 2019, IEEE Micro.

Nam Sung Kim, Keith A. Bowman, Tanay Karnik, 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

David Donofrio, Nam Sung Kim, Jie Zhang, 2020, IEEE Trans. Parallel Distributed Syst..

Sujan Kumar Gonugondla, Naresh R. Shanbhag, Nam Sung Kim, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Nam Sung Kim, Michael J. Schulte, Syed Zohaib Gilani, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mohammad Alian, Nam Sung Kim, Krishna Parasuram Srinivasan, 2018, 2018 IEEE International Symposium on Workload Characterization (IISWC).

Nam Sung Kim, Jungseob Lee, Paritosh Pratap Ajgaonkar, 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.

Taejoon Park, Nam Sung Kim, Zhenhong Liu, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Nam Sung Kim, Amin Farmahini Farahani, Michael J. Schulte, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ronald G. Dreslinski, Trevor N. Mudge, Nam Sung Kim, 2019, MEMSYS.

Nam Sung Kim, Michael J. Schulte, Syed Zohaib Gilani, 2013, HPCA.

Nam Sung Kim, Ulya R. Karpuzcu, 2019, Approximate Circuits.

O Seongil, Jung Ho Ahn, Nam Sung Kim, 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).

Jian Huang, Nam Sung Kim, Myoungsoo Jung, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Nam Sung Kim, Jungseob Lee, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Mohammad Alian, Nam Sung Kim, Alexander G. Schwing, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Nam Sung Kim, Seouk-Kyu Choi, Jung-Bae Lee, 2020, 2020 IEEE International Solid- State Circuits Conference - (ISSCC).

Nam Sung Kim, Deming Chen, Wei Zuo, 2020, 2020 33rd International Conference on VLSI Design and 2020 19th International Conference on Embedded Systems (VLSID).

Josep Torrellas, Nam Sung Kim, Ulya R. Karpuzcu, 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).

Hao Wang, Nam Sung Kim, Jae W. Lee, 2016, IEEE Transactions on Parallel and Distributed Systems.

Nam Sung Kim, Jungseob Lee, Shi-Ting Zhou, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

David Blaauw, Gregory K. Chen, Trevor N. Mudge, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Nam Sung Kim, Abhishek A. Sinkar, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Jinjun Xiong, Nam Sung Kim, Deming Chen, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Josep Torrellas, Robert C. N. Pilawa-Podgurski, Dimitrios Skarlatos, 2016, 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Nam Sung Kim, Dong Ping Zhang, Nuwan Jayasena, 2016, 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).

Jung Ho Ahn, Nam Sung Kim, Amin Farmahini Farahani, 2016, IEEE Micro.

Nam Sung Kim, Daniel Wong, Murali Annavaram, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Mikko H. Lipasti, Nam Sung Kim, David J. Palframan, 2012, IEEE/IFIP International Conference on Dependable Systems and Networks (DSN 2012).

Nam Sung Kim, Paula Aguilera, Katherine Morrow, 2014, 2014 IEEE 32nd International Conference on Computer Design (ICCD).

Jung Ho Ahn, Nam Sung Kim, Amin Farmahini Farahani, 2015, IEEE Computer Architecture Letters.

Nam Sung Kim, Zhibin Yu, Zhendong Bei, 2022, IEEE Transactions on Computers.

Nam Sung Kim, Myoungsoo Jung, Mahmut Taylan Kandemir, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Nam Sung Kim, Dong Kai Wang, N. Kim, 2021, ASPLOS.

Amar Phanishayee, Nam Sung Kim, Derek Murray, 2021, HotOS.

Nam Sung Kim, Charlie Tai, Rangeen Basu Roy Chowdhury, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Nam Sung Kim, Jongmin Shin, Alexandros Daglis, 2021, IEEE Computer Architecture Letters.

Nam Sung Kim, Valeria Bertacco, Todd Austin, 2002 .