Ajay D. Kshemkalyani

发表

Ajay D. Kshemkalyani, Mukesh Singhal, M. Singhal, 2008 .

Ajay D. Kshemkalyani, Gokarna Sharma, Anisur Rahaman Molla, 2020, WALCOM.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Mukesh Singhal, 2002, J. Parallel Distributed Comput..

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Ashfaq A. Khokhar, Min Shen, 2012, 2012 8th International Wireless Communications and Mobile Computing Conference (IWCMC).

Ajay D. Kshemkalyani, Bartlomiej Sieka, 2006 .

Ajay D. Kshemkalyani, Abdullah Alourani, Mark Grechanik, 2020, IEEE Transactions on Parallel and Distributed Systems.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Punit Chandra, 2003, Inf. Process. Lett..

Ajay D. Kshemkalyani, Divya Saxena, Vaskar Raychoudhury, 2018, 2018 21st International Conference on Intelligent Transportation Systems (ITSC).

Ajay D. Kshemkalyani, John Q. Walker, Wendy S. Arnette, 1995, IBM Syst. J..

Ajay D. Kshemkalyani, George Samaras, Andrew Citron, 1998, Distributed Syst. Eng..

Ajay D. Kshemkalyani, Sol M. Shatz, Juzheng Li, 2011, IEEE Transactions on Mobile Computing.

Ajay D. Kshemkalyani, Gokarna Sharma, Anisur Rahaman Molla, 2018 .

Ajay D. Kshemkalyani, Min Shen, Ashfaq Khokhar, 2012 .

Ajay D. Kshemkalyani, Bin Wu, 2005, EUC.

Ajay D. Kshemkalyani, Punit Chandra, Pranav Gambhire, 2004, IEEE Transactions on Parallel and Distributed Systems.

Ajay D. Kshemkalyani, A. Kshemkalyani, 1998, Distributed Computing.

Ajay D. Kshemkalyani, Mayank Rawat, 2003, Second IEEE International Symposium on Network Computing and Applications, 2003. NCA 2003..

Ajay D. Kshemkalyani, Jiannong Cao, 2013, IEEE Transactions on Computers.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Bin Wu, 2008, Int. J. Ad Hoc Ubiquitous Comput..

Ajay D. Kshemkalyani, Mohammad Peyravian, 1996, Proceedings of GLOBECOM'96. 1996 IEEE Global Telecommunications Conference.

Ajay D. Kshemkalyani, Mukesh Singhal, M. Singhal, 2008 .

Ajay D. Kshemkalyani, Punit Chandra, 2005, ICDCIT.

Ajay D. Kshemkalyani, Punit Chandra, A. Kshemkalyani, 2001, HiPC.

Ajay D. Kshemkalyani, Min Shen, Bhargav Voleti, 2020, J. Parallel Distributed Comput..

Ajay D. Kshemkalyani, Ta Yuan Hsu, 2016, ARMS-CC@PODC.

Ajay D. Kshemkalyani, Punit Chandra, 2005, IEEE Transactions on Computers.

Ajay D. Kshemkalyani, Abdullah Alourani, A. Kshemkalyani, 2020, 2020 19th International Symposium on Parallel and Distributed Computing (ISPDC).

Ajay D. Kshemkalyani, Mukesh Singhal, 1994, J. Parallel Distributed Comput..

Ajay D. Kshemkalyani, Faizan Ali, 2019, ICDCN.

Ajay D. Kshemkalyani, Ashfaq A. Khokhar, Min Shen, 2012, 2012 41st International Conference on Parallel Processing Workshops.

Ajay D. Kshemkalyani, Liujia Hu, A. Kshemkalyani, 2004, Proceedings. 13th International Conference on Computer Communications and Networks (IEEE Cat. No.04EX969).

Ajay D. Kshemkalyani, 2003, IEEE Trans. Parallel Distributed Syst..

Ajay D. Kshemkalyani, Ta-Yuan Hsu, 2018, IEEE Transactions on Parallel and Distributed Systems.

Ajay D. Kshemkalyani, Gene Tsudik, Stephen M. Matyas, 1997, Telecommun. Syst..

Ajay D. Kshemkalyani, Ashfaq A. Khokhar, Min Shen, 2018, ICDCN.

Ajay D. Kshemkalyani, Mukesh Singhal, 1996, PODC '96.

Ajay D. Kshemkalyani, George Samaras, Andrew Citron, 1996, Proceedings of 16th International Conference on Distributed Computing Systems.

Ajay D. Kshemkalyani, Bin Wu, 2006, Fifth IEEE International Symposium on Network Computing and Applications (NCA'06).

Ajay D. Kshemkalyani, 1998, Theor. Comput. Sci..

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Roshan Kamath, A. Kshemkalyani, 2001, ECSQARU.

Ajay D. Kshemkalyani, George Samaras, Andrew Citron, 1997, J. Syst. Archit..

Ajay D. Kshemkalyani, Min Shen, Ta Yuan Hsu, 2015, 2015 IEEE International Parallel and Distributed Processing Symposium Workshop.

Ajay D. Kshemkalyani, Min Shen, Ta Yuan Hsu, 2017, Future Gener. Comput. Syst..

Ajay D. Kshemkalyani, A. Kshemkalyani, 1996, J. Comput. Syst. Sci..

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Punit Chandra, A. Kshemkalyani, 2008, J. Parallel Distributed Comput..

Ajay D. Kshemkalyani, Mukesh Singhal, 1997, Proceedings of 17th International Conference on Distributed Computing Systems.

Ajay D. Kshemkalyani, Mukesh Singhal, 1999, PODC '99.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Pranav Gambhire, 2000, HiPC.

Ajay D. Kshemkalyani, Mukesh Singhal, 1991, IEEE Trans. Software Eng..

Ajay D. Kshemkalyani, Min Shen, 2013, 2013 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Mukesh Singhal, M. Singhal, 2008 .

Ajay D. Kshemkalyani, Ashfaq A. Khokhar, Min Shen, 2013, 2013 IEEE 12th International Symposium on Parallel and Distributed Computing.

Ajay D. Kshemkalyani, 2000, FSTTCS.

Ajay D. Kshemkalyani, Mukesh Singhal, M. Singhal, 2008 .

Ajay D. Kshemkalyani, Anshuman Misra, 2021, ICDCIT.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Pranav Gambhire, 2000, HiPC.

Weiping Zhu, Ajay D. Kshemkalyani, Jiannong Cao, 2012, 2012 20th Euromicro International Conference on Parallel, Distributed and Network-based Processing.

Ajay D. Kshemkalyani, Mukesh Singhal, 2013, J. Parallel Distributed Comput..

Ajay D. Kshemkalyani, 2009, 2009 IEEE International Conference on Cluster Computing and Workshops.

Ajay D. Kshemkalyani, 2007, IEEE Transactions on Computers.

Ajay D. Kshemkalyani, Mohammad Peyravian, 1997, Comput. Commun..

Ajay D. Kshemkalyani, 2003, Distributed Computing.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, A. Kshemkalyani, 1996, Theor. Comput. Sci..

Ajay D. Kshemkalyani, Mukesh Singhal, B. Sieka, 2004 .

Ajay D. Kshemkalyani, Allen Roginsky, Mohammad Peyravian, 1998, Comput. Secur..

Ajay D. Kshemkalyani, Mukesh Singhal, M. Singhal, 1999, IEEE Trans. Knowl. Data Eng..

Ajay D. Kshemkalyani, Vivek Shikaripura, 2002, ISAAC.

Ajay D. Kshemkalyani, Divya Saxena, Vaskar Raychoudhury, 2018, 2018 21st International Conference on Intelligent Transportation Systems (ITSC).

Ajay D. Kshemkalyani, A. Kshemkalyani, 1997, Proceedings of the Sixth IEEE Computer Society Workshop on Future Trends of Distributed Computing Systems.

Ajay D. Kshemkalyani, Mukesh Singhal, M. Singhal, 1992, Inf. Process. Lett..

Ajay D. Kshemkalyani, Bhargav Voleti, 2019, ICDCIT.

Ajay D. Kshemkalyani, Gokarna Sharma, Anisur Rahaman Molla, 2019, ALGOSENSORS.

Ajay D. Kshemkalyani, Bin Wu, 2007, IEEE Transactions on Software Engineering.

Ajay D. Kshemkalyani, Bin Wu, 2008, Comput. Commun..

Ajay D. Kshemkalyani, A. Kshemkalyani, 1997, Proceedings of 5th International Workshop on Parallel and Distributed Real-Time Systems and 3rd Workshop on Object-Oriented Real-Time Systems.

Ajay D. Kshemkalyani, Mohammad Peyravian, 1997, Proceedings of INFOCOM '97.

Ajay D. Kshemkalyani, Anshuman Misra, 2020, NBiS.

Ajay D. Kshemkalyani, Min Shen, Sangyoon Lee, 2011, 2011 10th International Symposium on Parallel and Distributed Computing.

Ajay D. Kshemkalyani, Ugo Buy, Bharath Sundararaman, 2005, Ad Hoc Networks.

Ajay D. Kshemkalyani, A. Kshemkalyani, 2010, IEEE Transactions on Parallel and Distributed Systems.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Mukesh Singhal, M. Singhal, 1998, Distributed Computing.

Ajay D. Kshemkalyani, Min Shen, Ta Yuan Hsu, 2014, 2014 28th International Conference on Advanced Information Networking and Applications Workshops.

Ajay D. Kshemkalyani, Mukesh Singhal, 1999, Euro-Par.

Ajay D. Kshemkalyani, Ta Yuan Hsu, 2015, 2015 IEEE/ACM International Conference on Advances in Social Networks Analysis and Mining (ASONAM).

Ajay D. Kshemkalyani, Mukesh Singhal, M. Singhal, 2008 .

Ajay D. Kshemkalyani, Zhiguo Zhang, Sol M. Shatz, 2010, TOSN.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, A. Kshemkalyani, 1997, Artif. Intell..

Ajay D. Kshemkalyani, Faizan Ali, 2018, 2018 IEEE First International Conference on Artificial Intelligence and Knowledge Engineering (AIKE).

Ajay D. Kshemkalyani, Zhiguo Zhang, Sol M. Shatz, 2008, DCOSS.

Ajay D. Kshemkalyani, Ta Yuan Hsu, 2015, Int. J. Space Based Situated Comput..

Ajay D. Kshemkalyani, Mohan Ahuja, Timothy Carlson, 1990, Proceedings.,10th International Conference on Distributed Computing Systems.

Ajay D. Kshemkalyani, Mukesh Singhal, Bartlomiej Sieka, 2004, Proceedings. Fourth International Conference on Peer-to-Peer Computing, 2004. Proceedings..

Ajay D. Kshemkalyani, Ashfaq A. Khokhar, Min Shen, 2014, J. Parallel Distributed Comput..

Ajay D. Kshemkalyani, Ashfaq A. Khokhar, Min Shen, 2011, 2011 IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum.

Ajay D. Kshemkalyani, George Samaras, Andrew Citron, 1993, HPTS.

Ajay D. Kshemkalyani, Arobinda Gupta, Ajit Pal, 2005 .

Ajay D. Kshemkalyani, Jiannong Cao, Daqing Zhang, 2013, IEEE Transactions on Parallel and Distributed Systems.

Ajay D. Kshemkalyani, Nir Shavit, N. Shavit, 2001, ACM SIGACT-SIGOPS Symposium on Principles of Distributed Computing.

Ajay D. Kshemkalyani, Abdullah Alourani, Mark Grechanik, 2019, 2019 IEEE 12th International Conference on Cloud Computing (CLOUD).

Ajay D. Kshemkalyani, Mukesh Singhal, M. Singhal, 1994, IEEE Trans. Software Eng..

Ajay D. Kshemkalyani, Sandeep Lodha, 2000, IEEE Trans. Parallel Distributed Syst..

Ajay D. Kshemkalyani, Punit Chandra, 2004, The IEEE Computer Society's 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, 2004. (MASCOTS 2004). Proceedings..

Ajay D. Kshemkalyani, 2010, MidSens '10.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Roshan Kamath, A. Kshemkalyani, 2002, Int. J. Intell. Syst..

Ajay D. Kshemkalyani, Bin Wu, A. Kshemkalyani, 2004, Third IEEE International Symposium on Network Computing and Applications, 2004. (NCA 2004). Proceedings..

Ajay D. Kshemkalyani, A. Kshemkalyani, 1998, IPPS/SPDP Workshops.

Ajay D. Kshemkalyani, Rajeev Kumar, Ajit Pal, 2008 .

Ajay D. Kshemkalyani, Punit Chandra, 2003, ASIAN.

Ajay D. Kshemkalyani, 2011, Inf. Process. Lett..

Ajay D. Kshemkalyani, Mukesh Singhal, Michel Raynal, 1995, Distributed Syst. Eng..

Ajay D. Kshemkalyani, Punit Chandra, 2002, HiPC.

Ajay D. Kshemkalyani, Kandarp Jani, 2004, IWDC.

Ajay D. Kshemkalyani, A. Kshemkalyani, 1998, Euro-Par.

Ajay D. Kshemkalyani, Gokarna Sharma, Anisur Rahaman Molla, 2020, ICDCN.

Ajay D. Kshemkalyani, Mukesh Singhal, 2008 .

Ajay D. Kshemkalyani, Ta Yuan Hsu, 2016, 2016 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW).

Ajay D. Kshemkalyani, Min Shen, Ta Yuan Hsu, 2015, ICDCN.

Ajay D. Kshemkalyani, Mukesh Singhal, Vijay K. Garg, 2007, J. Parallel Distributed Comput..

Ajay D. Kshemkalyani, Punit Chandra, 2005, EUC.

Ajay D. Kshemkalyani, Bin Wu, A. Kshemkalyani, 2006, IEEE Transactions on Computers.

Ajay D. Kshemkalyani, Jiannong Cao, Daqing Zhang, 2014 .

Ajay D. Kshemkalyani, Bartlomiej Sieka, 2007, Int. J. Netw. Secur..

Ajay D. Kshemkalyani, Sandeep Lodha, Punit Chandra, 2001, Euro-Par.

Ajay D. Kshemkalyani, 2012, J. Parallel Distributed Comput..

Ajay D. Kshemkalyani, Ashfaq A. Khokhar, Mohamed Hefeida, 2011, 2011 International Conference on Collaboration Technologies and Systems (CTS).

Ajay D. Kshemkalyani, Jiannong Cao, Vaskar Raychoudhury, 2011, MidSens '11.

Ajay D. Kshemkalyani, Min Shen, 2014, IEEE Transactions on Parallel and Distributed Systems.

Ajay D. Kshemkalyani, Gokarna Sharma, 2021, ArXiv.

Ajay D. Kshemkalyani, Gokarna Sharma, Anisur Rahaman Molla, 2021, NETYS.

Ajay D. Kshemkalyani, Anshuman Misra, 2021, ICDCIT.

Ajay D. Kshemkalyani, Ta-Yuan Hsu, A. Kshemkalyani, 2021, PaPoC@EuroSys.

Ajay D. Kshemkalyani, Gokarna Sharma, Anisur Rahaman Molla, 2022, J. Parallel Distributed Comput..

Ajay D. Kshemkalyani, Ta-Yuan Hsu, A. Kshemkalyani, 2021, 2021 IEEE/ACM 21st International Symposium on Cluster, Cloud and Internet Computing (CCGrid).

Ajay D. Kshemkalyani, Gokarna Sharma, Anisur Rahaman Molla, 2021, 2021 20th International Symposium on Parallel and Distributed Computing (ISPDC).

Ajay D. Kshemkalyani, Mohammad Peyravian, 1998, Comput. Networks.