Hsien-Hsin S. Lee

发表

Xiaotong Zhuang, Hsien-Hsin S. Lee, H. Lee, 2007, IEEE Transactions on Computers.

Hsien-Hsin S. Lee, Jun Yang, Weidong Shi, 2006, The Twelfth International Symposium on High-Performance Computer Architecture, 2006..

Tao Zhang, Hsien-Hsin S. Lee, Weidong Shi, 2006, J. Parallel Distributed Comput..

Hsien-Hsin S. Lee, 2015, VLSI Design, Automation and Test(VLSI-DAT).

Hsien-Hsin S. Lee, Sung Kyu Lim, Gabriel H. Loh, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Hsien-Hsin S. Lee, Nak Hee Seong, Sungkap Yeo, 2013, ISCA.

Hsien-Hsin S. Lee, Mrinmoy Ghosh, H. Lee, 2007, 2007 International Conference on Parallel and Distributed Systems.

Tao Zhang, Hsien-Hsin S. Lee, Weidong Shi, 2004, DRM '04.

Hsien-Hsin S. Lee, Weidong Shi, Chenghuai Lu, 2007, Trans. High Perform. Embed. Archit. Compil..

Hsien-Hsin S. Lee, Sung Kyu Lim, Michael B. Healy, 2008, 2008 Asia and South Pacific Design Automation Conference.

Carole-Jean Wu, Gu-Yeon Wei, Hsien-Hsin S. Lee, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Hsien-Hsin S. Lee, Dong Hyuk Woo, Nak Hee Seong, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Gary S. Tyson, Hsien-Hsin S. Lee, Matthew K. Farrens, 2001, J. Instr. Level Parallelism.

Hsien-Hsin S. Lee, Kingsum Chow, Richard M. Yoo, 2006, 2006 IEEE International Symposium on Workload Characterization.

Hsien-Hsin S. Lee, Sung Kyu Lim, Mongkol Ekpanyapong, 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Scott A. Mahlke, Hsien-Hsin S. Lee, Mikhail Smelyanskiy, 2003, International Symposium on Code Generation and Optimization, 2003. CGO 2003..

Marek Chrobak, Hsien-Hsin S. Lee, Jun Yang, 2010, J. Parallel Distributed Comput..

Hsien-Hsin S. Lee, Dean L. Lewis, 2009, 2009 IEEE International Conference on 3D System Integration.

Hsien-Hsin S. Lee, Sungkap Yeo, 2012, Computer.

Hsien-Hsin S. Lee, Daehyun Kim, Taeweon Suh, 2005, Proceedings. 42nd Design Automation Conference, 2005..

Hsien-Hsin S. Lee, Sung Kyu Lim, Michael B. Healy, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Hsien-Hsin S. Lee, Brandon Reagen, Wooseok Choi, 2020, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Hsien-Hsin S. Lee, Kingsum Chow, Richard M. Yoo, 2007, 2007 IEEE 10th International Symposium on Workload Characterization.

Hsien-Hsin S. Lee, Weidong Shi, H. Lee, 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

Hsien-Hsin S. Lee, Weidong Shi, Chenghuai Lu, 2005, HiPEAC.

Hsien-Hsin S. Lee, Adam Welc, Yang Ni, 2008, SPAA '08.

Hsien-Hsin S. Lee, Milos Prvulovic, Chinnakrishnan S. Ballapuram, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Hsien-Hsin S. Lee, Sung Kyu Lim, Jacob Rajkumar Minz, 2006, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Hsien-Hsin S. Lee, Yuvraj Singh Dhillon, Joshua Bruce Fryman, 2003 .

Marek Chrobak, Hsien-Hsin S. Lee, Jun Yang, 2006, 2006 International Conference on Parallel Architectures and Compilation Techniques (PACT).

Hsien-Hsin S. Lee, Sung Kyu Lim, Michael B. Healy, 2007, 2007 Asia and South Pacific Design Automation Conference.

Hsien-Hsin S. Lee, Shih-Lien Lu, Taeweon Suh, 2007, 2007 International Conference on Field Programmable Logic and Applications.

Hsien-Hsin S. Lee, Krishnendu Chakrabarty, K. Chakrabarty, 2009, IEEE Design & Test of Computers.

Hsien-Hsin S. Lee, Dean L. Lewis, 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

Hsien-Hsin S. Lee, Dong Hyuk Woo, Marsha Eng, 2007 .

Hsien-Hsin S. Lee, Joshua B. Fryman, David E. Schimmel, 2003, IEEE Micro.

Hsien-Hsin S. Lee, Dong Hyuk Woo, H. Lee, 2010, ASPLOS XV.

Sudhakar Yalamanchili, Hsien-Hsin S. Lee, D. L. Lewis, 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

Hsien-Hsin S. Lee, Mrinmoy Ghosh, H. Lee, 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

Hsien-Hsin S. Lee, Mohammad M. Hossain, Jen-Cheng Huang, 2012, 4th IEEE International Conference on Cloud Computing Technology and Science Proceedings.

Hsien-Hsin S. Lee, Weidong Shi, Chenghuai Lu, 2005, ISCA 2005.

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2005, CARN.

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2004, IEEE International SOC Conference, 2004. Proceedings..

Hsien-Hsin S. Lee, Sung Kyu Lim, Mongkol Ekpanyapong, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2011, 2011 IEEE 29th International Conference on Computer Design (ICCD).

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

Hsien-Hsin S. Lee, Edward S. Davidson, Tien-Pao Shih, 1994, 1994 International Conference on Parallel Processing Vol. 3.

Hsien-Hsin S. Lee, Sungkap Yeo, Mohammad M. Hossain, 2014, SoCC.

Hsien-Hsin S. Lee, Chinnakrishnan S. Ballapuram, Ahmad Sharif, 2008, ASPLOS.

Karsten Schwan, Hsien-Hsin S. Lee, Mrinmoy Ghosh, 2011, 2011 International Conference on Parallel Processing.

Hsien-Hsin S. Lee, Yoshio Turner, Matteo Monchiero, 2011, 2011 ACM/IEEE Seventh Symposium on Architectures for Networking and Communications Systems.

Hsien-Hsin S. Lee, Chad Huneycutt, Joshua Bruce Fryman, 2005 .

Hsien-Hsin S. Lee, Douglas M. Blough, Taeweon Suh, 2004, IEEE Micro.

Xiaotong Zhuang, Hsien-Hsin S. Lee, 2003, 2003 International Conference on Parallel Processing, 2003. Proceedings..

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2015, IEEE Transactions on Computers.

Hsien-Hsin S. Lee, Pinar Korkmaz, Mongkol Ekpanyapong, 2004, Asia-Pacific Computer Systems Architecture Conference.

Trevor N. Mudge, Hsien-Hsin S. Lee, Weidong Shi, 2005, Second International Conference on Autonomic Computing (ICAC'05).

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hsien-Hsin S. Lee, Naila Farooqui, D. E. Schimmel, 2003 .

Hsien-Hsin S. Lee, Dong Hyuk Woo, H. Lee, 2008, Computer.

Tao Zhang, Santosh Pande, Xiaotong Zhuang, 2004, CASES '04.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2010, IEEE Custom Integrated Circuits Conference 2010.

Hsien-Hsin S. Lee, Sung Kyu Lim, Gabriel H. Loh, 2009, 2009 Asia and South Pacific Design Automation Conference.

Yan Han, Hsien-Hsin S. Lee, Li Jiang, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Hsien-Hsin S. Lee, Xiaodong Wang, Dilip P. Vasudevan, 2012, 2011 IEEE International 3D Systems Integration Conference (3DIC), 2011 IEEE International.

Hsien-Hsin S. Lee, Eric Fontaine, 2007, 2007 International Conference on Parallel and Distributed Systems.

Hsien-Hsin S. Lee, Lifeng Nai, 2013, 2013 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum.

Ching-Yung Lin, Hsien-Hsin S. Lee, Bo Hong, 2014, Conf. Computing Frontiers.

Hsien-Hsin S. Lee, Hyesoon Kim, Joo Hwan Lee, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Hsien-Hsin S. Lee, Edward S. Davidson, 1974, IEEE Transactions on Computers.

Hsien-Hsin S. Lee, Ahmad Sharif, 2008, GH '08.

Hsien-Hsin S. Lee, Vijayalakshmi Srinivasan, Dong Hyuk Woo, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Hsien-Hsin S. Lee, Ahmad Sharif, 2011, J. Instr. Level Parallelism.

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2004, Proceedings. 13th International Conference on Parallel Architecture and Compilation Techniques, 2004. PACT 2004..

Hsien-Hsin S. Lee, Vikas R. Vasisht, 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2012, 2012 IEEE International Solid-State Circuits Conference.

Hsien-Hsin S. Lee, Chinnakrishnan S. Ballapuram, 2008, 2008 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation.

Hsien-Hsin S. Lee, Weidong Shi, Alexandra Boldyreva, 2006, GH '06.

Hsien-Hsin S. Lee, Sung Kyu Lim, Gabriel H. Loh, 2004 .

Hsien-Hsin S. Lee, Hyesoon Kim, Lifeng Nai, 2014, 2014 IEEE 28th International Parallel and Distributed Processing Symposium.

Martin D. Schatz, Carole-Jean Wu, Hsien-Hsin S. Lee, 2019, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Hsien-Hsin S. Lee, Joshua B. Fryman, Dong Hyuk Woo, 2008, IEEE Micro.

Hsien-Hsin S. Lee, Dong Hyuk Woo, D. L. Lewis, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Hsien-Hsin S. Lee, Mrinmoy Ghosh, Emre Özer, 2006, ARCS.

Hsien-Hsin S. Lee, Chinnakrishnan S. Ballapuram, 2003, ISLPED '03.

Hsien-Hsin S. Lee, Douglas M. Blough, Taeweon Suh, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Hsien-Hsin S. Lee, Gabriel H. Loh, Chad Huneycutt, 2005 .

Sally A. McKee, Martin Schulz, Hsien-Hsin S. Lee, 2005, CF '05.

Hsien-Hsin S. Lee, Richard M. Yoo, H. Lee, 2008, SPAA '08.

Hsien-Hsin S. Lee, Dong Hyuk Woo, 2010, ASPLOS 2010.

Hsien-Hsin S. Lee, Weidong Shi, Mrinmoy Ghosh, 2005, 32nd International Symposium on Computer Architecture (ISCA'05).

Hsien-Hsin S. Lee, Dong Hyuk Woo, Mrinmoy Ghosh, 2006, CASES '06.

Hsien-Hsin S. Lee, Xin Zhao, Sung Kyu Lim, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Hsien-Hsin S. Lee, Mrinmoy Ghosh, Fayez Mohamood, 2008, J. Syst. Archit..

Hsien-Hsin S. Lee, D. L. Lewis, Dean L. Lewis, 2007, 2007 IEEE International Test Conference.