Dhiraj K. Pradhan

发表

Dhiraj K. Pradhan, D. Pradhan, 1996 .

Dhiraj K. Pradhan, Jimson Mathew, Mohamad Imran Bin Bandan, 2017, IEEE Transactions on Reliability.

Dhiraj K. Pradhan, Jimson Mathew, Yi Xin Su, 2008, 2008 IEEE International SOC Conference.

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2010, Comput. J..

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2011, 2011 International Symposium on Electronic System Design.

Dhiraj K. Pradhan, Nitin H. Vaidya, B. S. Bakshi, 1995 .

Dhiraj K. Pradhan, Luigi Carro, Costas Ar, 2009 .

Dhiraj K. Pradhan, Jimson Mathew, Priyadarshan Patra, 2012, Communications in Computer and Information Science.

Dhiraj K. Pradhan, Hafizur Rahaman, J Matthew, 2006 .

Dhiraj K. Pradhan, Jimson Mathew, Abusaleh M. Jabir, 2015, 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2009, IET Comput. Digit. Tech..

Dhiraj K. Pradhan, Taskin Koçak, 2008, JETC.

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Dhiraj K. Pradhan, Costas Argyrides, Hamid R. Zarandi, 2007, 22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2007).

Dhiraj K. Pradhan, M. R. Samatham, 1984, ISCA 1984.

Dhiraj K. Pradhan, Debendra Das Sharma, D. Pradhan, 1996, J. Parallel Distributed Comput..

Dhiraj K. Pradhan, Barun K. Kar, 1993, Proceedings of 1993 5th IEEE Symposium on Parallel and Distributed Processing.

Dhiraj K. Pradhan, Barun K. Kar, Khadem M. Yusuf, 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.

Dhiraj K. Pradhan, Israel Koren, D. Pradhan, 1987, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Nitin H. Vaidya, 1997, IEEE Trans. Computers.

Dhiraj K. Pradhan, Jimson Mathew, Jawar Singh, 2008, 2008 14th IEEE International On-Line Testing Symposium.

Dhiraj K. Pradhan, Nitin H. Vaidya, 1993, [1993] Proceedings. The 13th International Conference on Distributed Computing Systems.

Dhiraj K. Pradhan, Vishram Mishra, Jimson Mathew, 2011, Int. J. Sens. Networks.

Dhiraj K. Pradhan, M. Hecht, H. Hecht, 1995, 1995 IEEE Aerospace Applications Conference. Proceedings.

Dhiraj K. Pradhan, Jimson Mathew, Abusaleh M. Jabir, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dhiraj K. Pradhan, Subhasis Bhattacharjee, 2004 .

Dhiraj K. Pradhan, Jimson Mathew, Marco Ottavi, 2015, 2015 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2011, Circuits Syst. Signal Process..

Dhiraj K. Pradhan, K. N. Ganapathy, A. D. Singh, 1990, 1990 Proceedings. International Conference on Wafer Scale Integration.

Dhiraj K. Pradhan, Ashutosh Kumar Singh, Masahiro Fujita, 2021, IEEE Design & Test.

Dhiraj K. Pradhan, K. N. Ganapathy, A. D. Singh, 1991 .

Dhiraj K. Pradhan, Fred J. Meyer, 1989, IEEE Trans. Computers.

Dhiraj K. Pradhan, T. L. Rajaprabhu, Ashutosh Kumar Singh, 2004, Proceedings. Ninth IEEE International High-Level Design Validation and Test Workshop (IEEE Cat. No.04EX940).

Dhiraj K. Pradhan, Elango Ganesan, D. Pradhan, 1991, [1991] Proceedings. 11th International Conference on Distributed Computing Systems.

Dhiraj K. Pradhan, 1978, IEEE Transactions on Computers.

Dhiraj K. Pradhan, J. Pineda de Gyvez, D. Pradhan, 1998 .

Dhiraj K. Pradhan, Jimson Mathew, Biplab K. Sikdar, 2007, 25th IEEE VLSI Test Symposium (VTS'07).

Dhiraj K. Pradhan, Kewal K. Saluja, Gurindar S. Sohi, 1987, ISCA '87.

Dhiraj K. Pradhan, Dominique Thiébaut, Yeong-Chang Maa, 1992, Proceedings Sixth International Parallel Processing Symposium.

Dhiraj K. Pradhan, Fabian Vargas, Costas Argyrides, 2008, 2008 14th IEEE International On-Line Testing Symposium.

Dhiraj K. Pradhan, Ashutosh Kumar Singh, A. Mohan, 2019, International Journal of Electronics.

Dhiraj K. Pradhan, Mitrajit Chatterjee, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Dhiraj K. Pradhan, Fred J. Meyer, D. Pradhan, 1988, IEEE Trans. Computers.

Dhiraj K. Pradhan, Jimson Mathew, Yuamfam Yang, 2015, 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).

Dhiraj K. Pradhan, Savita Banerjee, Mitrajit Chatterjee, 1993, ICCAD.

Dhiraj K. Pradhan, Jimson Mathew, Jawar Singh, 2007, 10th International Conference on Information Technology (ICIT 2007).

Dhiraj K. Pradhan, Jacob A. Abraham, J. Abraham, 1986 .

Dhiraj K. Pradhan, Nirmala R. Kamath, D. Pradhan, 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2008, 2008 IEEE International SOC Conference.

Gavin D. Holland, Dhiraj K. Pradhan, 2001 .

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2010, IET Comput. Digit. Tech..

Dhiraj K. Pradhan, Nitin H. Vaidya, P. Krishna, 1996, Comput. Commun..

Dhiraj K. Pradhan, Sudhakar M. Reddy, 1973, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Babak Falsafi, Christos-Savvas Bouganis, 2012 .

Dhiraj K. Pradhan, Mitrajit Chatterjee, 1995, Proceedings 13th IEEE VLSI Test Symposium.

Dhiraj K. Pradhan, Magdy S. Abadir, Mauricio Varea, 2003, The IEEE International Symposium on Circuits and Systems, 2003. Tutorial Guide: ISCAS 2003..

Dhiraj K. Pradhan, Saraju P. Mohanty, Jawar Singh, 2013 .

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2009, 2009 22nd International Conference on VLSI Design.

Dhiraj K. Pradhan, Barun K. Kar, R. C. K. Kumar, 1993, Proceedings of International Conference on Application Specific Array Processors (ASAP '93).

Dhiraj K. Pradhan, Nitin H. Vaidya, P. Krishna, 1994, 1994 Internatonal Conference on Parallel Processing Vol. 2.

Dhiraj K. Pradhan, Babak Falsafi, Christos-Savvas Bouganis, 2013, Microprocess. Microsystems.

Dhiraj K. Pradhan, Barun K. Kar, Mitrajit Chatterjee, 1996, 1996 8th European Signal Processing Conference (EUSIPCO 1996).

Dhiraj K. Pradhan, Jimson Mathew, Seyed Ghassem Miremadi, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Dhiraj K. Pradhan, Nitin H. Vaidya, Pravin Krishna, 1996 .

Dhiraj K. Pradhan, Sudhakar M. Reddy, Wolfgang Kunz, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Dhiraj K. Pradhan, Magdy S. Abadir, Scott Davidson, 2001, VTS.

Dhiraj K. Pradhan, Jimson Mathew, Rajat Subhra Chakraborty, 2017, ACM Trans. Embed. Comput. Syst..

Dhiraj K. Pradhan, Costas Argyrides, 2008, Fault-Tolerant Distributed Algorithms on VLSI Chips.

Dhiraj K. Pradhan, D. Pradhan, 1978, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Subhasis Bhattacharjee, 2004 .

Dhiraj K. Pradhan, Srimat T. Chakradhar, Rabindra K. Roy, 1994, Proceedings of 7th International Conference on VLSI Design.

Dhiraj K. Pradhan, Florin Balasa, D. Pradhan, 2012, 2012 5th International Congress on Image and Signal Processing.

Dhiraj K. Pradhan, Hafizur Rahaman, Jimson Mathew, 2014 .

Dhiraj K. Pradhan, Sandeep K. Gupta, D. Pradhan, 1988, International Test Conference 1988 Proceeding@m_New Frontiers in Testing.

Dhiraj K. Pradhan, Nitin H. Vaidya, 1994, Proceedings of IEEE 24th International Symposium on Fault- Tolerant Computing.

Dhiraj K. Pradhan, Sudhakar M. Reddy, S. Reddy, 1972, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Debjyoti Paul, Mitrajit Chatterjee, 1996, Proceedings of International Conference on Computer Aided Design.

Dhiraj K. Pradhan, Jimson Mathew, Ioannis Sourdis, 2014, J. Low Power Electron..

Dhiraj K. Pradhan, Debendra Das Sharma, 1993, Proceedings of 1993 5th IEEE Symposium on Parallel and Distributed Processing.

Dhiraj K. Pradhan, Srimat T. Chakradhar, Rabindra K. Roy, 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Dhiraj K. Pradhan, Argyrides Costas, Vargas Fabian, 2008 .

Dhiraj K. Pradhan, Srimat T. Chakradhar, Rabindra K. Roy, 1996, IEEE Trans. Very Large Scale Integr. Syst..

Dhiraj K. Pradhan, Luigi Carro, Argyrides Costas, 2007 .

Dhiraj K. Pradhan, Chunsheng Liu, Krish Chakraborty, 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

Dhiraj K. Pradhan, Maciej J. Ciesielski, Serkan Askar, 2003, Eighth IEEE International High-Level Design Validation and Test Workshop.

Dhiraj K. Pradhan, Sandeep K. Gupta, Mark G. Karpovsky, 1990, IEEE Trans. Computers.

Dhiraj K. Pradhan, Nitin H. Vaidya, Pravin Krishna, 1995 .

Dhiraj K. Pradhan, Jayashree Saxena, 1992, [1992] Proceedings The European Conference on Design Automation.

Dhiraj K. Pradhan, Jimson Mathew, Koushik Maharatna, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Dhiraj K. Pradhan, T. L. Rajaprabhu, Ashutosh Kumar Singh, 2005, Tenth IEEE International High-Level Design Validation and Test Workshop, 2005..

Dhiraj K. Pradhan, Joao Marques-Silva, Ian G. Harris, 2009 .

Dhiraj K. Pradhan, Debjyoti Paul, Mitrajit Chatterjee, 2001 .

Dhiraj K. Pradhan, Nitin H. Vaidya, Mainak Chatterjee, 1997, CCRV.

Dhiraj K. Pradhan, Seyed Ghassem Miremadi, Costas Argyrides, 2007, 2007 IEEE International Parallel and Distributed Processing Symposium.

Dhiraj K. Pradhan, Jimson Mathew, Jawar Singh, 2007 .

Dhiraj K. Pradhan, Argyrides Costas, S Demetriou, 2007 .

Dhiraj K. Pradhan, Christos Strydis, Ioannis Sourdis, 2013 .

Dhiraj K. Pradhan, M. R. Samatham, Maheswara R. Samatham, 1984, ISCA '84.

Dhiraj K. Pradhan, Kyushik Son, 1981, ITC.

Dhiraj K. Pradhan, Jimson Mathew, Abusaleh M. Jabir, 2011, 2011 20th European Conference on Circuit Theory and Design (ECCTD).

Dhiraj K. Pradhan, M. R. Samatham, 1985, ISCA 1985.

Dhiraj K. Pradhan, Jimson Mathew, Abusaleh M. Jabir, 2006, ICCAD.

Dhiraj K. Pradhan, Argyrides Costas, 2007 .

Dhiraj K. Pradhan, Saraju P. Mohanty, D. Pradhan, 2010, JETC.

Dhiraj K. Pradhan, 2008, 2008 IEEE Region 10 and the Third international Conference on Industrial and Information Systems.

Dhiraj K. Pradhan, Nitin H. Vaidya, 1994, IEEE Trans. Computers.

Dhiraj K. Pradhan, Saraju P. Mohanty, Elias Kougianos, 2012, Integr..

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2015, J. Low Power Electron..

Dhiraj K. Pradhan, Barun K. Kar, 1993, IEEE Trans. Signal Process..

Dhiraj K. Pradhan, Debendra Das Sharma, G. D. Holland, 1994, 1994 Internatonal Conference on Parallel Processing Vol. 2.

Dhiraj K. Pradhan, 1978, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2012, J. Low Power Electron..

Dhiraj K. Pradhan, Luigi Carro, Costas Argyrides, 2009, SBCCI.

Dhiraj K. Pradhan, Saraju P. Mohanty, Garima Thakral, 2010, 2010 23rd International Conference on VLSI Design.

Dhiraj K. Pradhan, Costas Argyrides, Nikolaos Mavrogiannakis, 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

Dhiraj K. Pradhan, Samuel Nascimento Pagliarini, Lirida A. B. Naviner, 2014, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS).

Dhiraj K. Pradhan, Dominique Thiébaut, Yeong-Chang Maa, 1991, CARN.

Dhiraj K. Pradhan, Savita Banerjee, Mitrajit Chatterjee, 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2011, 2011 12th International Symposium on Quality Electronic Design.

Dhiraj K. Pradhan, Saraju P. Mohanty, Jawar Singh, 2013 .

Dhiraj K. Pradhan, N. S. Bowen, D. Pradhan, 1993 .

Dhiraj K. Pradhan, Saraju P. Mohanty, Garima Thakral, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Dhiraj K. Pradhan, Jimson Mathew, Mohammad Reza Kakoee, 2007, 2007 IEEE International High Level Design Validation and Test Workshop.

Dhiraj K. Pradhan, Kolar L. Kodandapani, 1980, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Wanlin Cao, 1996, Proceedings of International Conference on Computer Aided Design.

Dhiraj K. Pradhan, Costas Argyrides, Stephania Loizidou Himona, 2008, WREFT '08.

Dhiraj K. Pradhan, Debendra Das Sharma, 1995, IEEE Trans. Parallel Distributed Syst..

Dhiraj K. Pradhan, Saraju P. Mohanty, Bharat Joshi, 2010 .

Dhiraj K. Pradhan, Narayanan Vijaykrishnan, Jawar Singh, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Dhiraj K. Pradhan, Dominique Thiébaut, Abraham Mendelson, 1993, IEEE Trans. Computers.

Dhiraj K. Pradhan, Nicholas S. Bowen, 1996, IEEE Trans. Computers.

Dhiraj K. Pradhan, Jimson Mathew, Jawar Singh, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dhiraj K. Pradhan, Jayashree Saxena, 1993, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.

Dhiraj K. Pradhan, Luigi Carro, Costas Argyrides, 2008, 26th IEEE VLSI Test Symposium (vts 2008).

Dhiraj K. Pradhan, Abusaleh M. Jabir, 2007, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2010, 2010 18th IEEE/IFIP International Conference on VLSI and System-on-Chip.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2012, GLSVLSI '12.

Dhiraj K. Pradhan, D. Pradhan, 1978, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Jimson Mathew, Abusaleh M. Jabir, 2014, 2014 24th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS).

Dhiraj K. Pradhan, Luigi Carro, Costas A. Argyrides, 2009, 2009 10th Latin American Test Workshop.

Dhiraj K. Pradhan, Elango Ganesan, D. Pradhan, 1993, IEEE Trans. Parallel Distributed Syst..

Dhiraj K. Pradhan, Sandeep K. Gupta, Mark G. Karpovsky, 1991, 1991, Proceedings. International Test Conference.

Dhiraj K. Pradhan, Wolfgang Kunz, D. Pradhan, 1992, Proceedings International Test Conference 1992.

Dhiraj K. Pradhan, Luigi Carro, Ahmad A. Al-Yamani, 2009, 2009 10th International Symposium on Quality Electronic Design.

Dhiraj K. Pradhan, Kewal K. Saluja, Gurindar S. Sohi, 1990, IEEE Trans. Computers.

Dhiraj K. Pradhan, Jimson Mathew, Anas Abu Taleb, 2010, 2010 Fourth International Conference on Sensor Technologies and Applications.

Dhiraj K. Pradhan, Saraju P. Mohanty, Jawar Singh, 2012 .

Dhiraj K. Pradhan, Jimson Mathew, Rishad A. Shafik, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Dhiraj K. Pradhan, D. Pradhan, 1986 .

Dhiraj K. Pradhan, Ahmad A. Al-Yamani, S. Ramsundar, 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.

Dhiraj K. Pradhan, Debendra Das Sharma, D. Pradhan, 1992, [1992] Proceedings of the Fourth IEEE Symposium on Parallel and Distributed Processing.

Dhiraj K. Pradhan, Nitin H. Vaidya, 1992, IEEE Trans. Inf. Theory.

Dhiraj K. Pradhan, Jimson Mathew, Anas Abu Taleb, 2010, 2010 8th IEEE International Conference on Pervasive Computing and Communications Workshops (PERCOM Workshops).

Dhiraj K. Pradhan, Jimson Mathew, Marco Ottavi, 2014, 2014 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT).

Dhiraj K. Pradhan, Wolfgang Kunz, D. Pradhan, 1994, The IEEE International Symposium on Circuits and Systems, 2003. Tutorial Guide: ISCAS 2003..

Dhiraj K. Pradhan, Chunsheng Liu, Zach Link, 2006, Proceedings of the Design Automation & Test in Europe Conference.

Dhiraj K. Pradhan, Wolfgang Kunz, Mitrajit Chatterjee, 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Dhiraj K. Pradhan, S. Ramsundar, Argyrides Costas, 2007 .

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2010, IET Comput. Digit. Tech..

Dhiraj K. Pradhan, M. R. Samatham, Maheswara R. Samatham, 1989, IEEE Trans. Computers.

Dhiraj K. Pradhan, Samuel Nascimento Pagliarini, 2014, 2014 IEEE 20th International On-Line Testing Symposium (IOLTS).

Dhiraj K. Pradhan, Jimson Mathew, Durga Prasad Sahoo, 2015, Integr..

Dhiraj K. Pradhan, Nicholas S. Bowen, 1995, IEEE Trans. Computers.

Dhiraj K. Pradhan, Dimitrios Kagaris, Rohit Gambhir, 2005, 11th IEEE International On-Line Testing Symposium.

Dhiraj K. Pradhan, Mitrajit Chatterjee, 2003, IEEE Trans. Computers.

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2008, TODE.

Dhiraj K. Pradhan, Anas Abu Taleb, Taskin Kocak, 2009, 2009 Third International Conference on Sensor Technologies and Applications.

Dhiraj K. Pradhan, Jimson Mathew, Rishad A. Shafik, 2013 .

Dhiraj K. Pradhan, Nicholas S. Bowen, 1992, IEEE Trans. Computers.

Dhiraj K. Pradhan, D. Pradhan, 1985, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Nitin H. Vaidya, 1993, IEEE Trans. Computers.

Dhiraj K. Pradhan, Saraju P. Mohanty, Jawar Singh, 2013 .

Dhiraj K. Pradhan, Jimson Mathew, Mohammad Reza Kakoee, 2008, 2008 Design, Automation and Test in Europe.

Dhiraj K. Pradhan, 1983, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Pedro Reviriego, Juan Antonio Maestro, 2011, J. Electron. Test..

Dhiraj K. Pradhan, Sathiamoorthy Subbarayan, S. Subbarayan, 2004, SAT.

Dhiraj K. Pradhan, Jimson Mathew, Marco Ottavi, 2016, IEEE Transactions on Nanotechnology.

Dhiraj K. Pradhan, Bella Bose, D. Pradhan, 1982, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Debjyoti Paul, Mitrajit Chatterjee, 2003, The IEEE International Symposium on Circuits and Systems, 2003. Tutorial Guide: ISCAS 2003..

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

Dhiraj K. Pradhan, Saraju P. Mohanty, Garima Thakral, 2010, GLSVLSI '10.

Dhiraj K. Pradhan, Wolfgang Kunz, Mitrajit Chatterjee, 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.

Dhiraj K. Pradhan, Fred J. Meyer, D. Pradhan, 1991, IEEE Trans. Parallel Distributed Syst..

Dhiraj K. Pradhan, Pravin Krishna, Nitin H. Vaidya, 1993, Proceedings 1993 IEEE Workshop on Advances in Parallel and Distributed Systems.

Dhiraj K. Pradhan, Chunsheng Liu, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Dhiraj K. Pradhan, Luigi Carro, Costas Argyrides, 2009, 2009 15th IEEE International On-Line Testing Symposium.

Dhiraj K. Pradhan, Argyrides Costas, Hamid R. Zarandi, 2007 .

Dhiraj K. Pradhan, Najmi T. Jarwala, 1988, IEEE Trans. Computers.

Dhiraj K. Pradhan, Jimson Mathew, Rishad A. Shafik, 2015 .

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2008, 2008 IEEE International SOC Conference.

Dhiraj K. Pradhan, Dominique Thiébaut, Abraham Mendelson, 1990, ICPP.

Dhiraj K. Pradhan, Srimat T. Chakradhar, Rabindra K. Roy, 1994, Proceedings of European Design and Test Conference EDAC-ETC-EUROASIC.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Dhiraj K. Pradhan, Nicholas S. Bowen, 1991, Proceedings of the 1991 ACM/IEEE Conference on Supercomputing (Supercomputing '91).

Dhiraj K. Pradhan, Wolfgang Kunz, Mitrajit Chatterjee, 1996, ISLPED.

Dhiraj K. Pradhan, Sandeep K. Gupta, D. Pradhan, 1991, IEEE Trans. Computers.

Dhiraj K. Pradhan, T. L. Rajaprabhu, Ashutosh Kumar Singh, 2007, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Luigi Carro, Costas Argyrides, 2007, SBCCI '07.

Dhiraj K. Pradhan, Sudhakar M. Reddy, S. Reddy, 1982, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Jimson Mathew, Anas Abu Taleb, 2010 .

Dhiraj K. Pradhan, Costas Argyrides, 2007, 13th IEEE International On-Line Testing Symposium (IOLTS 2007).

Dhiraj K. Pradhan, Jimson Mathew, Marco Ottavi, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2013, Comput. Electr. Eng..

Dhiraj K. Pradhan, Israel Koren, B. Patel, 1991, [1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.

Dhiraj K. Pradhan, Hamid Sharif, Érika F. Cota, 2004, 2004 International Conferce on Test.

Dhiraj K. Pradhan, Sudhakar M. Reddy, Sandeep K. Gupta, 1990, [1990] Digest of Papers. Fault-Tolerant Computing: 20th International Symposium.

Dhiraj K. Pradhan, Elango Ganesan, 1993, [1993] Proceedings Seventh International Parallel Processing Symposium.

Dhiraj K. Pradhan, Abusaleh M. Jabir, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Dhiraj K. Pradhan, Sudhakar M. Reddy, 1976, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Jimson Mathew, Ioannis Sourdis, 2013, 2013 Euromicro Conference on Digital System Design.

Dhiraj K. Pradhan, Fred J. Meyer, D. Pradhan, 1993, Networks.

Dhiraj K. Pradhan, Zhen Li, Jimson Mathew, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Dhiraj K. Pradhan, Jayashree Saxena, D. Pradhan, 1993, Proceedings of IEEE International Test Conference - (ITC).

Dhiraj K. Pradhan, Wolfgang Kunz, S. M. Reddy, 1996 .

Dhiraj K. Pradhan, Mitrajit Chatterjee, D. Pradhan, 1994, Proceedings., International Test Conference.

Dhiraj K. Pradhan, Fred J. Meyer, D. Pradhan, 1989, IEEE Trans. Computers.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2011, 2011 International Symposium on Electronic System Design.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2011, J. Low Power Electron..

Dhiraj K. Pradhan, Jimson Mathew, Luo Sun, 2014 .

Dhiraj K. Pradhan, 2001, Proceedings Seventh International On-Line Testing Workshop.

Dhiraj K. Pradhan, Saraju P. Mohanty, Bashir M. Al-Hashimi, 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

Dhiraj K. Pradhan, Nitin H. Vaidya, N. Vaidya, 1995 .

Neeraj Suri, Dhiraj K. Pradhan, Avi Mendelson, 1991, Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing.

Dhiraj K. Pradhan, Ian G. Harris, 2009 .

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2008, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Arvind M. Patel, D. Pradhan, 1975, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Jimson Mathew, Luo Sun, 2013, 2013 International Symposium on Electronic System Design.

Dhiraj K. Pradhan, Dmitri Maslov, Jimson Mathew, 2009, Quantum Inf. Comput..

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Dhiraj K. Pradhan, Debendra Das Sharma, 1994, 1994 Internatonal Conference on Parallel Processing Vol. 2.

Dhiraj K. Pradhan, Jeffrey A. Clark, D. Pradhan, 1995, Computer.

Dhiraj K. Pradhan, Nitin H. Vaidya, B. S. Bakshi, 1997, Proceedings of 17th International Conference on Distributed Computing Systems.

Dhiraj K. Pradhan, Jayashree Saxena, 1992, Digest of Papers. 1992 IEEE VLSI Test Symposium.

Dhiraj K. Pradhan, Debendra Das Sharma, 1994, Proceedings of IEEE Workshop on Fault-Tolerant Parallel and Distributed Systems.

Dhiraj K. Pradhan, Nitin H. Vaidya, N. Vaidya, 1994, IEEE Trans. Computers.

Dhiraj K. Pradhan, Saraju P. Mohanty, Jawar Singh, 2008, IEICE Electron. Express.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2011, 2011 24th Internatioal Conference on VLSI Design.

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Dhiraj K. Pradhan, G. Thakral, P. MohantyS., 2010 .

Dhiraj K. Pradhan, Saraju P. Mohanty, Elias Kougianos, 2010, J. Low Power Electron..

Dhiraj K. Pradhan, D. Pradhan, 1989, Reliability Of Computer And Communication Networks.

Dhiraj K. Pradhan, Eiji Fujiwara, 1990, Computer.

Dhiraj K. Pradhan, Rajarshi Mukherjee, Jawahar Jain, 1994, Proceedings of IEEE VLSI Test Symposium.

Dhiraj K. Pradhan, Jimson Mathew, Yuanfan Yang, 2014, 2014 12th International Conference on Signal Processing (ICSP).

Dhiraj K. Pradhan, Jimson Mathew, Babita R. Jose, 2007, 2007 IEEE International SOC Conference.

Dhiraj K. Pradhan, Jack J. Stiffler, D. Pradhan, 1980, Computer.

Dhiraj K. Pradhan, Nitin H. Vaidya, Mainak Chatterjee, 1995, Symposium on Mobile and Location-Independent Computing.

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2017, J. Low Power Electron..

Dhiraj K. Pradhan, Costas Argyrides, Stephania Loizidou, 2008, SAMOS.

Dhiraj K. Pradhan, Sandeep K. Gupta, 1996, IEEE Trans. Computers.

Dhiraj K. Pradhan, Subhasis Bhattacharjee, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Dhiraj K. Pradhan, Chunsheng Liu, Vikram Iyengar, 2006, 24th IEEE VLSI Test Symposium.

Dhiraj K. Pradhan, Costas Argyrides, 2007, 2007 IEEE International SOC Conference.

Dhiraj K. Pradhan, Jimson Mathew, Mohammad Reza Kakoee, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dhiraj K. Pradhan, Debendra Das Sharma, 1998, IEEE Trans. Parallel Distributed Syst..

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dhiraj K. Pradhan, Trailokya Nath Sasamal, Ashutosh Kumar Singh, 2019, Lecture Notes in Electrical Engineering.

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2008, 21st International Conference on VLSI Design (VLSID 2008).

Dhiraj K. Pradhan, Saraju P. Mohanty, Garima Thakral, 2010 .

Dhiraj K. Pradhan, Jeffrey A. Clark, D. Pradhan, 1994 .

Dhiraj K. Pradhan, Ahmad A. Al-Yamani, S. Ramsundar, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Dhiraj K. Pradhan, Bharat Joshi, Jack J. Stiffler, 2008, Wiley Encyclopedia of Computer Science and Engineering.

Dhiraj K. Pradhan, Babak Falsafi, Christos-Savvas Bouganis, 2014, ARC.

Dhiraj K. Pradhan, Ahmad A. Al-Yamani, Costas Argyrides, 2007, 2007 IEEE International SOC Conference.

Dhiraj K. Pradhan, Debjyoti Paul, Mitrajit Chatterjee, 2000 .

Dhiraj K. Pradhan, Jimson Mathew, Rishad A. Shafik, 2014 .

Dhiraj K. Pradhan, Wolfgang Kunz, S. M. Reddy, 2001 .

Dhiraj K. Pradhan, Jimson Mathew, Seyed Ghassem Miremadi, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Dhiraj K. Pradhan, 1985, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Jimson Mathew, Urbi Chatterjee, 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

Dhiraj K. Pradhan, Narayanan Vijaykrishnan, Jawar Singh, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Dhiraj K. Pradhan, Nitin H. Vaidya, Pravin Krishna, 1996 .

Dhiraj K. Pradhan, Elango Ganesan, 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

Dhiraj K. Pradhan, Nicholas S. Bowen, 1991, [1991] Digest of Papers. Fault-Tolerant Computing: The Twenty-First International Symposium.

Dhiraj K. Pradhan, Wolfgang Kunz, 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Dhiraj K. Pradhan, Dimitrios Kagaris, S. Chidambaram, 2005, IEEE International Conference on Test, 2005..

Dhiraj K. Pradhan, Dimitrios Kagaris, Jayawant Kakade, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Dhiraj K. Pradhan, Nitin H. Vaidya, P. Krishna, 1994, Proceedings of 3rd International Conference on Parallel and Distributed Information Systems.

Dhiraj K. Pradhan, Seyed Ghassem Miremadi, Costas Argyrides, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Dhiraj K. Pradhan, Jennifer L. Welch, Shlomi Dolev, 1995, Comput. Commun..

Dhiraj K. Pradhan, Costas Argyrides, Nikolaos Mavrogiannakis, 2010, 2010 East-West Design & Test Symposium (EWDTS).

Dhiraj K. Pradhan, Wanlin Cao, 1996, ICCAD 1996.

Dhiraj K. Pradhan, 1990, [1990] Proceedings of the International Conference on Application Specific Array Processors.

Dhiraj K. Pradhan, Arvind M. Patel, M. Y. Hsiao, 1977, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Wolfgang Kunz, Subodh M. Reddy, 1995, 32nd Design Automation Conference.

Dhiraj K. Pradhan, Debendra Das Sharma, D. Pradhan, 1993, 1993 International Conference on Parallel Processing - ICPP'93.

Dhiraj K. Pradhan, D. Pradhan, 2003, Eighth IEEE International High-Level Design Validation and Test Workshop.

Dhiraj K. Pradhan, Nitin H. Vaidya, P. Krishna, 1996, Proceedings of Annual Symposium on Fault Tolerant Computing.

Dhiraj K. Pradhan, Seyed Ghassem Miremadi, Argyrides Costas, 2007 .

Dhiraj K. Pradhan, Jimson Mathew, Rishad A. Shafik, 2015, IEEE Transactions on Reliability.

Dhiraj K. Pradhan, Jimson Mathew, Rishad A. Shafik, 2012, ICECCS 2012.

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2009, 2009 15th IEEE International On-Line Testing Symposium.

Dhiraj K. Pradhan, Wolfgang Kunz, Mitrajit Chatterjee, 1995, ICCAD.

Dhiraj K. Pradhan, Hamid Sharif, Chunsheng Liu, 2004 .

Dhiraj K. Pradhan, B. K. Kar, 1992, Workshop on VLSI Signal Processing.

Dhiraj K. Pradhan, Jimson Mathew, Abusaleh M. Jabir, 2008, 2008 14th IEEE International On-Line Testing Symposium.

Dhiraj K. Pradhan, Jimson Mathew, Mohamad Imran Bin Bandan, 2013, 2013 International Symposium on Electronic System Design.

Dhiraj K. Pradhan, Nitin H. Vaidya, Debendra Das Sharma, 1993, Hardware and Software Architectures for Fault Tolerance.

Dhiraj K. Pradhan, Jimson Mathew, Durga Prasad Sahoo, 2015, ACM Trans. Embed. Comput. Syst..

Dhiraj K. Pradhan, Jimson Mathew, Rishad A. Shafik, 2012, ICECCS 2012.

Dhiraj K. Pradhan, Costas Argyrides, Hamid R. Zarandi, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Dhiraj K. Pradhan, Jimson Mathew, Marco Ottavi, 2016, IEEE Transactions on Nanotechnology.

Dhiraj K. Pradhan, Taskin Koçak, Costas Argyrides, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dhiraj K. Pradhan, D. Pradhan, 1980, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Jimson Mathew, Mohamad Imran Bin Bandan, 2014, J. Low Power Electron..

Dhiraj K. Pradhan, Jimson Mathew, Seyed Ghassem Miremadi, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Dhiraj K. Pradhan, M. R. Samatham, Maheswara R. Samatham, 1985, ISCA '85.

Dhiraj K. Pradhan, Jeffrey A. Clark, 1995 .

Dhiraj K. Pradhan, Wolfgang Kunz, Mitrajit Chatterjee, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Dhiraj K. Pradhan, Jimson Mathew, Li Gang, 2013, 2013 International Symposium on Electronic System Design.

Dhiraj K. Pradhan, Subhasis Bhattacharjee, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Dhiraj K. Pradhan, Jayashree Saxena, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Dhiraj K. Pradhan, Sudhakar M. Reddy, 1974, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Seyed Ghassem Miremadi, Argyrides Costas, 2007 .

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2007, 13th IEEE International On-Line Testing Symposium (IOLTS 2007).

Dhiraj K. Pradhan, Nitin H. Vaidya, P. V. Murali Krishna, 1996 .

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Dhiraj K. Pradhan, Jimson Mathew, Anas Abu Taleb, 2010 .

Dhiraj K. Pradhan, Saraju P. Mohanty, Jawar Singh, 2013 .

Dhiraj K. Pradhan, Savita Banerjee, Mitrajit Chatterjee, 2000, IEEE Trans. Computers.

Dhiraj K. Pradhan, Argyrides Costas, Carro Luigi, 2009 .

Dhiraj K. Pradhan, Saraju P. Mohanty, Jawar Singh, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Dhiraj K. Pradhan, Nicholas S. Bowen, 1993, Computer.

Dhiraj K. Pradhan, Saraju P. Mohanty, Jawar Singh, 2013 .

Dhiraj K. Pradhan, Jimson Mathew, Rishad A. Shafik, 2014, IEEE Embedded Systems Letters.

Dhiraj K. Pradhan, Suriati Khartini Jali, Subhasis Bhattacharjee, 2019 .

Dhiraj K. Pradhan, Mauricio Varea, Magdy S. Abadir, 2005 .

Dhiraj K. Pradhan, Jos¿ Pineda de Gyvez, D. Pradhan, 1998 .

Dhiraj K. Pradhan, Babak Falsafi, Christos-Savvas Bouganis, 2012, 2012 15th Euromicro Conference on Digital System Design.

Dhiraj K. Pradhan, Nitin H. Vaidya, P. Krishna, 1996, Proceedings of LCN - 21st Annual Conference on Local Computer Networks.

Dhiraj K. Pradhan, Jimson Mathew, Hafizur Rahaman, 2006, 2006 IEEE International High Level Design Validation and Test Workshop.

Dhiraj K. Pradhan, 1980, Computer.

Dhiraj K. Pradhan, Kolar L. Kodandapani, 1980, IEEE Transactions on Computers.

Dhiraj K. Pradhan, Hafizur Rahaman, Jimson Mathew, 2012 .

Dhiraj K. Pradhan, Abusaleh M. Jabir, A. D. Singh, 2005 .

Dhiraj K. Pradhan, M. Y. Hsiao, A. M. Patel, 1995, Twenty-Fifth International Symposium on Fault-Tolerant Computing, 1995, ' Highlights from Twenty-Five Years'..

Dhiraj K. Pradhan, H. Nitin, P. Krishna, 1996 .

Dhiraj K. Pradhan, Jimson Mathew, Saraju P. Mohanty, 2012 .