Jason Cong
发表
Jason Cong,
Ran Libeskind-Hadas,
Nany Hasan,
1992
.
Jason Cong,
Fei Li,
Lei He,
2004,
FPGA '04.
Jason Cong,
Di Wu,
Muhuan Huang,
2016,
ISLPED.
Jason Cong,
Gi-Joon Nam,
J. Cong,
2008
.
Jason Cong,
Sung Kyu Lim,
2000
.
Jason Cong,
Guojie Luo,
Eric Radke,
2008,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Guangyu Sun,
Chen Zhang,
2014,
EuroSys '14.
Jason Cong,
Joey Y. Lin,
Wangning Long,
2002,
IWLS.
Jason Cong,
Young-kyu Choi,
Yuze Chi,
2020,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Zhenman Fang,
Chen Zhang,
2019,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Glenn Reinman,
Yu-Ting Chen,
2012,
ISLPED '12.
Jason Cong,
Ming Yan,
Jianwen Chen,
2012,
FPGA '12.
Jason Cong,
Vivek Sarkar,
Yi Zou,
2012,
LCTES '12.
Jason Cong,
Glenn Reinman,
Yuchun Ma,
2006
.
Jason Cong,
Guojie Luo,
Jiaxi Zhang,
2019,
2019 IEEE International Symposium on Circuits and Systems (ISCAS).
Jason Cong,
Glenn Reinman,
Zhenman Fang,
2019,
2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP).
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2005
.
Jason Cong,
Yun Liang,
Xuechao Wei,
2019,
2019 56th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Yiping Fan,
2006
.
Jason Cong,
John Shen,
Ruchir Puri,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Jason Cong,
Zheng Li,
Yuan Chen,
1994,
Proceedings of Winter Simulation Conference.
Jason Cong,
Glenn Reinman,
Kanit Therdsteerasukdi,
2011,
2011 IEEE 29th International Conference on Computer Design (ICCD).
Jason Cong,
Karthik Gururaj,
J. Cong,
2009,
2009 Design, Automation & Test in Europe Conference & Exhibition.
Jason Cong,
C. L. Liu,
J. Cong,
1991,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Andrew B. Kahng,
Kwok-Shing Leung,
1997,
ISPD '97.
Jason Cong,
Peng Li,
Peng Zhang,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Yan Zhang,
J. Cong,
2005,
Asia and South Pacific Design Automation Conference.
Jason Cong,
Zhigang Pan,
1998
.
Jason Cong,
Chang Wu,
1998,
DAC.
Jason Cong,
Bochen Tan,
J. Cong,
2020,
IEEE Transactions on Computers.
Jason Cong,
David Z. Pan,
J. Cong,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Majid Sarrafzadeh,
J. Cong,
2000,
ISPD '00.
Jason Cong,
Min Xie,
Michail Romesis,
2003,
ISPD '03.
Jason Cong,
Martin D. F. Wong,
Khe-Sing The,
1989,
26th ACM/IEEE Design Automation Conference.
Jason Cong,
2005
.
Jason Cong,
2014,
2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Jason Cong,
Jie Wang,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Peng Zhang,
Yi Zou,
2012,
J. Electr. Comput. Eng..
Jason Cong,
Ran Libeskind-Hadas,
Nany Hasan,
1992
.
Jason Cong,
Jie Fang,
2001
.
Jason Cong,
Majid Sarrafzadeh,
Maogang Wang,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Jason Cong,
2015
.
Jason Cong,
Cheng-Kok Koh,
Min Xie,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Jason Cong,
Chen Zhang,
Yijin Guan,
2017,
APPT.
Jason Cong,
Cheng-Kok Koh,
Kwok-Shing Leung,
1996
.
Jason Cong,
Chin-Chih Chang,
2002
.
Jason Cong,
Songjie Xu,
2000
.
Jason Cong,
Gang Chen,
2006,
ACM Trans. Design Autom. Electr. Syst..
Jason Cong,
Cheng-Kok Koh,
Patrick H. Madden,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Yiping Fan,
Junjuan Xu,
2009,
TODE.
Jason Cong,
Peng Li,
Ming Jiang,
2015,
SPIE Optical Engineering + Applications.
Jason Cong,
Ming Yan,
Alex A. T. Bui,
2011,
ISVC.
Jason Cong,
Yuchun Ma,
J. Cong,
2010
.
Jason Cong,
Gi-Joon Nam,
2007
.
Jason Cong,
Kirill Minkovich,
J. Cong,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Junjuan Xu,
J. Cong,
2008,
2008 Design, Automation and Test in Europe.
Jason Cong,
Giovanni De Micheli,
Antun Domic,
2020,
IEEE Des. Test.
Jason Cong,
Zhiru Zhang,
Bin Liu,
2009,
2009 17th IEEE Symposium on Field Programmable Custom Computing Machines.
Jason Cong,
Chak-Kuen Wong,
Majid Sarrafzadeh,
1991,
[1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Jason Cong,
Zhenman Fang,
Peipei Zhou,
2016,
2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Rakesh Kumar,
Sen Li,
2014,
Journal of Computer Science and Technology.
Jason Cong,
1987
.
Jason Cong,
Zhenman Fang,
Peipei Zhou,
2018,
2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Jason Cong,
Jie Fang,
Kei-Yong Khoo,
1999,
ISPD '99.
Jason Cong,
Yun Liang,
Deming Chen,
2011,
2011 IEEE 19th Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Peng Li,
Peng Zhang,
2012,
2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
2002,
ISPD '02.
Jason Cong,
Cheng-Kok Koh,
Lei He,
1997,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Martin D. F. Wong,
Khe-Sing The,
1991,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Sung Kyu Lim,
Toshiyuki Shibuya,
1997,
ICCAD 1997.
Jason Cong,
Gang Chen,
2001,
FPGA '01.
Jason Cong,
Glenn Reinman,
Kanit Therdsteerasukdi,
2012,
TACO.
Jason Cong,
Yuzheng Ding,
1993,
ICCAD.
Jason Cong,
Peng Zhang,
Yuxin Wang,
2012,
17th Asia and South Pacific Design Automation Conference.
Jason Cong,
Yuan Xie,
Guangyu Sun,
2013,
2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).
Jason Cong,
Jie Liu,
2019,
FPGA.
Jason Cong,
Peng Zhang,
Yi Zou,
2012,
DAC Design Automation Conference 2012.
Jason Cong,
Zhiru Zhang,
Peng Wei,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Peng Li,
Yuxin Wang,
2014,
FPGA.
Jason Cong,
Cheng-Kok Koh,
Andrew B. Kahng,
1995,
ICCAD.
Jason Cong,
2001
.
Jason Cong,
Deming Chen,
Junjuan Xu,
2005,
Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..
Jason Cong,
Tsu-Chang Lee,
1997
.
Jason Cong,
Tong He,
Zhenyuan Ruan,
2019,
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Yuzheng Ding,
2016,
Encyclopedia of Algorithms.
Jason Cong,
Moazzem Hossain,
Naveed A. Sherwani,
1993,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
J. Cong,
1999,
1999 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers. (Cat. No.99TH8453).
Jason Cong,
Yuan Xie,
Yijin Guan,
2020,
IEEE Transactions on Computers.
Jason Cong,
Martin D. F. Wong,
C. L. Liu,
1988,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
2004
.
Jason Cong,
Guojie Luo,
2010
.
Jason Cong,
Jie Wang,
Yun Liang,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jason Cong,
Bingjun Xiao,
2013,
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Deming Chen,
2004,
Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).
Jason Cong,
Chin-Chih Chang,
J. Cong,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Cheng-Kok Koh,
Kwok-Shing Leung,
1996,
Proceedings of 1996 International Symposium on Low Power Electronics and Design.
Jason Cong,
Zhenman Fang,
Peipei Zhou,
2016,
ArXiv.
Jason Cong,
Milos D. Ercegovac,
Deming Chen,
2001,
FPGA '01.
Jason Cong,
Zhenman Fang,
Di Wu,
2017,
FPGA.
Jason Cong,
Yuzheng Ding,
1994,
IEEE Trans. Very Large Scale Integr. Syst..
Jason Cong,
Lei He,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Cheng-Kok Koh,
Kwok-Shing Leung,
1996,
Proceedings of 1996 International Symposium on Low Power Electronics and Design.
Tutorial and Survey Paper Combinational Logic Synthesis for LUT Based Field Programmable Gate Arrays
Jason Cong,
Yuzheng Ding,
1996
.
Jason Cong,
Deming Chen,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Jason Cong,
Kirill Minkovich,
J. Cong,
2007,
FPGA '07.
Jason Cong,
Xin Yuan,
2003
.
Jason Cong,
Zhiru Zhang,
Sheng Zhou,
2010,
FPGA '10.
Jason Cong,
Jie Wang,
Atefeh Sohrabizadeh,
2020,
FPGA.
Jason Cong,
Jie Wang,
Yuze Chi,
2019,
FPGA.
Jason Cong,
Karthik Gururaj,
J. Cong,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Young Kyu Choi,
2017,
2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Cheng-Kok Koh,
Lei He,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Jason Cong,
Yean-Yow Hwang,
J. Cong,
2000,
TODE.
Jason Cong,
Yean-Yow Hwang,
J. Cong,
1995,
Third International ACM Symposium on Field-Programmable Gate Arrays.
Jason Cong,
Song Jiang,
Guangyu Sun,
2015,
2015 31st Symposium on Mass Storage Systems and Technologies (MSST).
Jason Cong,
Yun Liang,
Deming Chen,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Kirill Minkovich,
2010
.
Jason Cong,
Songjie Xu,
1998,
ICCAD.
Jason Cong,
Glenn Reinman,
Chunyue Liu,
2010,
Design Automation Conference.
Jason Cong,
Majid Sarrafzadeh,
Wenyao Xu,
2014,
IEEE Journal of Biomedical and Health Informatics.
Jason Cong,
Peng Zhang,
Muhuan Huang,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Mau-Chung Frank Chang,
Eran Socher,
2008,
ISPD '08.
Jason Cong,
Peng Zhang,
Muhuan Huang,
2013,
FPGA '13.
Jason Cong,
Peng Wei,
Jie Lei,
2015,
2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Guangyu Sun,
Yijin Guan,
2017,
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
Jason Cong,
Di Wu,
Young Kyu Choi,
2014,
2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Glenn Reinman,
Yu-Ting Chen,
2011,
2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
Jason Cong,
Yi Zou,
2009,
TRETS.
Jason Cong,
Songjie Xu,
1998
.
Jason Cong,
Zhiru Zhang,
Wei Jiang,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Jason Cong,
Glenn Reinman,
Michael Gill,
2012,
DAC Design Automation Conference 2012.
Jason Cong,
Min Xie,
Michail Romesis,
2003,
ICCAD.
Jason Cong,
J. Cong,
2001,
Proc. IEEE.
Jason Cong,
Glenn Reinman,
Yuchun Ma,
2008,
JETC.
Jason Cong,
Miodrag Potkonjak,
Zhiru Zhang,
2003,
ICCAD 2003.
Jason Cong,
Puneet Gupta,
John Lee,
2010,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Joseph R. Shinnerl,
J. Cong,
2018,
Handbook of Approximation Algorithms and Metaheuristics.
Jason Cong,
Jie Wang,
J. Cong,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Zhiru Zhang,
J. Cong,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Peng Zhang,
Jason Cong,
Cody Hao Yu,
2019,
FPGA.
Jason Cong,
Bin Liu,
Muhuan Huang,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Jason Cong,
1989,
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.
Jason Cong,
Songwu Lu,
Lixia Zhang,
2009,
MobiCom '09.
Jason Cong,
C. L. Liu,
N. Hasan,
1990
.
Jason Cong,
Jie Fang,
Kei-Yong Khoo,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Jason Cong,
Andrew B. Kahng,
Lei He,
1997,
DAC.
Jason Cong,
Peng Li,
Li Shen,
2015,
FPGA.
Jason Cong,
Wen Gao,
Huizhu Jia,
2017,
2017 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).
Joseph R. Shinnerl,
Jason Cong,
Tony F. Chan,
2003
.
Peng Zhang,
Jason Cong,
Cody Hao Yu,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Peng Li,
Yun Liang,
2013,
FPGA '13.
Jason Cong,
Deming Chen,
2004,
ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).
Jason Cong,
Guojie Luo,
J. Cong,
2011
.
Jason Cong,
Min Xie,
Yan Zhang,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Jason Cong,
Andrew B. Kahng,
Kuang-Chien Chen,
1992,
Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.
Jason Cong,
Yang Cai,
D. F. Wong,
1994
.
Jason Cong,
Wei Jiang,
2008,
FPGA '08.
Jason Cong,
J. Cong,
1996
.
Jason Cong,
C. L. Liu,
D. F. Wong,
1988
.
Jason Cong,
Bin Liu,
Glenn Reinman,
2012,
17th Asia and South Pacific Design Automation Conference.
Jason Cong,
2017,
IISWC.
Jason Cong,
Chang Wu,
J. Cong,
2002,
ISPD '02.
Jason Cong,
Bin Liu,
Wei Jiang,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Jason Cong,
Yu Wang,
Sheqin Dong,
2011,
IEICE Trans. Fundam. Electron. Commun. Comput. Sci..
Jason Cong,
Chak-Kuen Wong,
Majid Sarrafzadeh,
1992,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Fei Li,
Yan Lin,
2004,
FPGA '04.
Jason Cong,
Zhenman Fang,
Yuchen Hao,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Joseph R. Shinnerl,
Jason Cong,
Michail Romesis,
2006,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Glenn Reinman,
Chunyue Liu,
2012,
ISLPED '12.
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2003,
ICCAD.
Jason Cong,
Yi Zou,
Hui Huang,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Cody Hao Yu,
Min Gao,
2020,
FPGA.
Jason Cong,
Guojie Luo,
2010,
ISPD '10.
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2013
.
Jason Cong,
Patrick H. Madden,
1997,
ISPD '97.
Jason Cong,
Cheng-Kok Koh,
1994,
IEEE Trans. Very Large Scale Integr. Syst..
Jason Cong,
Bochen Tan,
2020,
2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jason Cong,
Patrick Groeneveld,
2001,
ICCAD 2001.
Jason Cong,
Jie Wei,
Yan Zhang,
2004,
ICCAD 2004.
Jason Cong,
Yean-Yow Hwang,
1997,
FPGA '97.
Jason Cong,
Ying Chen,
Yun Liang,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
J. Cong,
1991,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Peng Zhang,
Jason Cong,
Peng Li,
2017,
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Zhe Chen,
Hugh T. Blair,
2018,
FPGA.
Xi Chen,
Wei Zhang,
Jason Cong,
2017,
2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Zhe Chen,
Hugh T. Blair,
2020,
ISLPED.
Jason Cong,
Milos D. Ercegovac,
Muhuan Huang,
2013,
International Symposium on Low Power Electronics and Design (ISLPED).
Jason Cong,
2009,
FPL.
Jason Cong,
Mau-Chung Frank Chang,
Glenn Reinman,
2012,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Jason Cong,
Xin Yuan,
Hui Huang,
2005,
TODE.
Jason Cong,
Young Kyu Choi,
J. Cong,
2016,
IEEE Transactions on Biomedical Circuits and Systems.
Jason Cong,
Glenn Reinman,
Guoling Han,
2008,
ICCAD 2008.
Jason Cong,
Yu-Ting Chen,
Bingjun Xiao,
2015,
2015 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
Robert K. Brayton,
Jason Cong,
R. Brayton,
2010,
IEEE Des. Test Comput..
Jason Cong,
Bin Liu,
Wei Jiang,
2010,
FPGA '10.
Jason Cong,
Mau-Chung Frank Chang,
Tatsuo Itoh,
2017
.
Jason Cong,
Deming Chen,
Joey Y. Lin,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Jason Cong,
Songwu Lu,
Jian Gong,
2014,
2014 24th International Conference on Field Programmable Logic and Applications (FPL).
Jason Cong,
Kuang-Chien Chen,
Yuzheng Ding,
1993,
Sixth Annual IEEE International ASIC Conference and Exhibit.
Jason Cong,
Peipei Zhou,
Jie Wang,
2020,
2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Jan M. Rabaey,
Kazutoshi Wakabayashi,
2005,
ASP-DAC '05.
Jason Cong,
Paul D. Franzon,
Guojie Luo,
2010,
2010 IEEE International 3D Systems Integration Conference (3DIC).
Jason Cong,
Zhenman Fang,
Jingxian Xu,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
David Z. Pan,
Chin-Chih Chang,
2002,
ISPD '02.
Jason Cong,
David Z. Pan,
Prasanna V. Srinivas,
2001,
ASP-DAC '01.
Jason Cong,
Mau-Chung Frank Chang,
Vaibhav Aggarwal,
2020,
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).
Jason Cong,
2013,
FPGA '13.
Jason Cong,
Min Xie,
Chin-Chih Chang,
2003,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Peng Wei,
Peipei Zhou,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Yu Wang,
Sheqin Dong,
2011,
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2005,
TODE.
Jason Cong,
Fan Ye,
Guojie Luo,
2018
.
Jason Cong,
Peng Li,
2014
.
Jason Cong,
Sung Kyu Lim,
Chang Wu,
2000,
Proceedings 37th Design Automation Conference.
Jason Cong,
C. L. Liu,
Bryan Preas,
1991,
DAC '90.
Jason Cong,
Wei Jiang,
Guoling Han,
2007,
FPGA '07.
Jason Cong,
Kirill Minkovich,
2009,
2009 International Symposium on VLSI Design, Automation and Test.
Jason Cong,
Peipei Zhou,
Tong He,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Tong Gao,
Kuang-Chien Chen,
1994,
Comput. Graph..
Jason Cong,
Adrian Tang,
Mau-Chung Frank Chang,
2012,
2012 IEEE International Solid-State Circuits Conference.
Jason Cong,
Olivier Coudert,
Sharad Malik,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Jason Cong,
Zhiru Zhang,
Yiping Fan,
2004,
FPGA '04.
Jason Cong,
Muhuan Huang,
Sen Li,
2011,
ASAP 2011 - 22nd IEEE International Conference on Application-specific Systems, Architectures and Processors.
Jason Cong,
Wen Gao,
Xiaodong Xie,
2020,
IEEE Transactions on Medical Imaging.
Jason Cong,
Peng Li,
Pei Wang,
2014,
FCCM 2014.
Jason Cong,
Miryung Kim,
Qian Zhang,
2020,
2020 IEEE/ACM 42nd International Conference on Software Engineering (ICSE).
Jason Cong,
Guojie Luo,
J. Cong,
2010
.
Jason Cong,
Yi Zou,
2011,
FPGA '11.
Jason Cong,
Sung Kyu Lim,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Zhenman Fang,
Cody Hao Yu,
2016,
SoCC.
Jason Cong,
Songjie Xu,
Yean-Yow Hwang,
1999,
DAC '99.
Jason Cong,
Sung Kyu Lim,
Toshiyuki Shibuya,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Jason Cong,
Patrick H. Madden,
J. Cong,
1998
.
Jason Cong,
Jongsun Kim,
Mau-Chung Frank Chang,
2011,
2011 IEEE International Solid-State Circuits Conference.
Jason Cong,
Andrew B. Kahng,
Kwok-Shing Leung,
1992
.
Jason Cong,
David Blaauw,
Farid N. Najm,
1999,
International Symposium on Low Power Electronics and Design.
Jason Cong,
Zhenman Fang,
Mau-Chung Frank Chang,
2019,
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Tao Zhang,
Jason Cong,
Yiran Chen,
2018,
2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Jason Cong,
Glenn Reinman,
Michail Romesis,
2005,
ASP-DAC.
Jason Cong,
Peng Wei,
Zhenyuan Ruan,
2019,
2019 IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Kei-Yong Khoo,
1992,
EURO-DAC '92.
Jason Cong,
Yuan Xie,
Paul D. Franzon,
2008,
JETC.
Jason Cong,
David Z. Pan,
1999,
DAC '99.
Jason Cong,
Min Xie,
J. Cong,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Min Xie,
Chin-Chih Chang,
2004,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Yean-Yow Hwang,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Yiyu Shi,
Guojie Luo,
2011,
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC).
A High-throughput Architecture for Lossless Decompression on FPGA Designed Using HLS (Abstract Only)
Jason Cong,
Yunsong Li,
Jie Lei,
2016,
FPGA.
Jason Cong,
Cheng-Kok Koh,
J. Cong,
1994,
IEEE/ACM International Conference on Computer-Aided Design.
Jason Cong,
Fan Ye,
Guojie Luo,
2018
.
Jason Cong,
Román Hermida,
Alberto A. Del Barrio,
2016,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Zhiru Zhang,
Bin Liu,
2009,
ISLPED.
Jason Cong,
Yu-Ting Chen,
2015,
2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).
Jason Cong,
Zhe Chen,
Hugh T. Blair,
2018,
ISLPED.
Jason Cong,
Andrew B. Kahng,
L. Hagen,
1991,
[1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.
Jason Cong,
Deming Chen,
Wen-mei W. Hwu,
2013,
TECS.
Jason Cong,
Riko Radojcic,
Ruchir Puri,
2009,
2009 46th ACM/IEEE Design Automation Conference.
Jason Cong,
Andrew B. Kahng,
Kuang-Chien Chen,
1992,
IEEE Design & Test of Computers.
Joseph R. Shinnerl,
Jason Cong,
Michail Romesis,
2005,
ASP-DAC.
Jason Cong,
Nany Hasan,
C. L. Liu,
1988,
[1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.
Jason Cong,
Guoling Han,
Karthik Gururaj,
2009,
FPGA '09.
Jason Cong,
1998,
Proceedings of 1998 Asia and South Pacific Design Automation Conference.
Jason Cong,
Mau-Chung Frank Chang,
Yan Zhao,
2017,
2017 IEEE MTT-S International Microwave Symposium (IMS).
Jason Cong,
Cheng-Kok Koh,
Lei He,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Jason Cong,
Yiyu Shi,
Michael Niemier,
2018
.
Jason Cong,
Zhiru Zhang,
Deming Chen,
2005
.
Jason Cong,
Majid Sarrafzadeh,
Andrew B. Kahng,
1993,
ISCAS.
Joseph R. Shinnerl,
Jason Cong,
2008,
Handbook of Algorithms for Physical Design Automation.
Jason Cong,
J. Cong,
1998
.
Jason Cong,
Peng Li,
Peng Zhang,
2014,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Jie Wang,
2015,
FPGA.
Jason Cong,
Jie Wang,
Young-kyu Choi,
2020,
ArXiv.
Jason Cong,
Bin Liu,
J. Cong,
2012,
DAC Design Automation Conference 2012.
Jason Cong,
Yuzheng Ding,
1992,
ICCAD.
Jason Cong,
Cheng-Kok Koh,
Lei He,
1996,
Integr..
Jason Cong,
Guangyu Sun,
Peng Wang,
2015,
APSys.
Jason Cong,
Zhuoyuan Li,
Sheqin Dong,
2006,
2006 8th International Conference on Solid-State and Integrated Circuit Technology Proceedings.
Jason Cong,
Zhenman Fang,
Jie Lei,
2016,
CloudCom 2016.
Jason Cong,
Guojie Luo,
J. Cong,
2009,
2009 International Conference on Communications, Circuits and Systems.
Faming Liang,
Jason Cong,
Wing Hung Wong,
2000,
ASP-DAC '00.
Jason Cong,
Lei He,
1995,
TODE.
Jason Cong,
Jie Fang,
Kei-Yong Khoo,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2006,
ISPD '06.
Jason Cong,
Bo Yuan,
Yuhui Huang,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Jongchan Park,
Jeonghun Kim,
2011,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Faming Liang,
Jason Cong,
Wing Hung Wong,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Jason Cong,
Kwok-Shing Leung,
J. Cong,
1993,
ICCAD '93.
Jason Cong,
Taku Uchino,
2001,
Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
Jason Cong,
Guojie Luo,
Bingjun Xiao,
2013,
2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).
Jason Cong,
Jie Fang,
VI YanZhang,
2001,
IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
Jason Cong,
David Z. Pan,
2001,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Kirill Minkovich,
J. Cong,
2008,
FPGA '08.
Jason Cong,
Zhiru Zhang,
Glenn Reinman,
2005,
FPGA '05.
Jason Cong,
Cheng-Kok Koh,
1997,
1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
Jason Cong,
Yan Zhang,
Jie Wei,
2004,
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..
Jason Cong,
Bin Liu,
Junjuan Xu,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Jason Cong,
Wolfgang Rosenstiel,
2009,
IEEE Design & Test of Computers.
Jason Cong,
Wilburt Labio,
Narayanan Shivakumar,
1994,
ICCAD.
Jason Cong,
Peng Wei,
Cody Hao Yu,
2017,
2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Peng Li,
Ming Jiang,
2014,
2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Deming Chen,
Peichen Pan,
2006,
Found. Trends Electron. Des. Autom..
Jason Cong,
Zhigang Pan,
2000
.
Jason Cong,
Xin Yuan,
Hui Huang,
2000,
FPGA '00.
Jason Cong,
M'Lissa Smith,
J. Cong,
1993,
30th ACM/IEEE Design Automation Conference.
Jason Cong,
2008,
FPGA '08.
Jason Cong,
Miodrag Potkonjak,
Darko Kirovski,
1998,
ICCAD '98.
Jason Cong,
Joey Y. Lin,
Wangning Long,
2002,
IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002..
Jason Cong,
Chang Wu,
1996,
Proceedings International Conference on Computer Design. VLSI in Computers and Processors.
Jason Cong,
Chin-Chih Chang,
1997,
DAC.
Jason Cong,
Sachin S. Sapatnekar,
Yuan Xie,
2010
.
Jason Cong,
Di Wu,
Young-kyu Choi,
2014,
FCCM 2014.
Jason Cong,
Cody Hao Yu,
Zhe Chen,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Zhenman Fang,
Yuchen Hao,
2019,
ACM Trans. Reconfigurable Technol. Syst..
Jason Cong,
2008
.
Jason Cong,
Wilburt Labio,
Narayanan Shivakumar,
1996,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Chin-Chih Chang,
J. Cong,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Glenn Reinman,
Yuval Tamir,
2005,
ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..
Jason Cong,
Nicholas Bambos,
Eli Gafni,
1995,
J. High Speed Networks.
Jason Cong,
Takumi Okamoto,
1996,
ICCAD 1996.
Jason Cong,
John Peck,
Yuzheng Ding,
1996,
Fourth International ACM Symposium on Field-Programmable Gate Arrays.
Jason Cong,
Yuzheng Ding,
1993,
30th ACM/IEEE Design Automation Conference.
Jason Cong,
K.-Y. Khoo,
1993,
Proceedings 1993 IEEE Multi-Chip Module Conference MCMC-93.
Joseph R. Shinnerl,
Jason Cong,
Michail Romesis,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Jason Cong,
Glenn Reinman,
Hui Huang,
2013,
International Symposium on Low Power Electronics and Design (ISLPED).
Jason Cong,
Kirill Minkovich,
2010,
FPGA '10.
Jason Cong,
Guojie Luo,
John Lee,
2011,
2011 IEEE International Symposium of Circuits and Systems (ISCAS).
Jason Cong,
Fei Li,
Lei He,
2003,
FPGA '03.
Jason Cong,
Zhiru Zhang,
Bin Liu,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Peng Li,
Deming Chen,
2014,
FPGA.
Jason Cong,
Peng Li,
Chen Zhang,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Revisiting FPGA Acceleration of Molecular Dynamics Simulation with Dynamic Data Flow Behavior in High-Level Synthesis
pdf
Jason Cong,
Zhenman Fang,
Peng Wei,
2016,
ArXiv.
Jason Cong,
Sachin S. Sapatnekar,
Yuan Xie,
2009
.
Jason Cong,
Wayne Wolf,
Ken Shepard,
1998,
ICCAD '98.
Jason Cong,
Vivek Sarkar,
Yi Zou,
2012,
LCTES 2012.
Jason Cong,
Bo Yuan,
J. Cong,
2012,
ISLPED '12.
Jason Cong,
Yi Zou,
2010,
2010 18th IEEE Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Vivek Sarkar,
Alex A. T. Bui,
2009,
IEEE Design & Test of Computers.
Jason Cong,
Yan Zhang,
Jie Wei,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Jason Cong,
2014,
ISPD '14.
Jason Cong,
Kwok-Shing Leung,
J. Cong,
1995,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Zhenman Fang,
Glenn Reinman,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Yean-Yow Hwang,
1996,
DAC '96.
Joseph R. Shinnerl,
Jason Cong,
Tony F. Chan,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Jason Cong,
2008,
2008 IEEE International SOC Conference.
Jason Cong,
C. L. Liu,
J. Cong,
1990,
Proceedings of the European Design Automation Conference, 1990., EDAC..
Jason Cong,
Takumi Okamoto,
1996,
Proceedings of International Conference on Computer Aided Design.
Jason Cong,
Ran Libeskind-Hadas,
Nany Hasan,
1992
.
Jason Cong,
Karthik Gururaj,
2013,
FPGA '13.
Jason Cong,
Zhiru Zhang,
Guoling Han,
2006,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jason Cong,
Guoling Han,
2007
.
Jason Cong,
Glenn Reinman,
Krzysztof Rutkowski,
2007,
IEEE Transactions on Parallel and Distributed Systems.
Jason Cong,
Zhenman Fang,
Peng Wei,
2019,
Proceedings of the IEEE.
Jason Cong,
Songjie Xu,
J. Cong,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2007,
Modern Circuit Placement.
Jason Cong,
Songjie Xu,
J. Cong,
1998,
FPGA '98.
Jason Cong,
Chang Wu,
1999
.
Jason Cong,
Kei-Yong Khoo,
1995,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
David Z. Pan,
1999,
Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).
Jason Cong,
Wei Jiang,
Yiping Fan,
2006,
ICCAD.
Jason Cong,
Miodrag Potkonjak,
Darko Kirovski,
1998,
1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
Jason Cong,
Kwok-Shing Leung,
Dian Zhou,
1993,
30th ACM/IEEE Design Automation Conference.
Jason Cong,
Zhiru Zhang,
Xun Yang,
2003,
ICCAD 2003.
Jason Cong,
2014,
FPT.
Faming Liang,
Jason Cong,
Wing Hung Wong,
1999,
Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).
Jason Cong,
Cheng-Kok Koh,
1994,
ICCAD.
Jason Cong,
Cheng-Kok Koh,
J. Cong,
1995,
Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.
Jason Cong,
Zhenman Fang,
Peng Wei,
2016,
2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Peng Zhang,
Jason Cong,
Peng Wei,
2018,
DAC.
Jason Cong,
Guojie Luo,
2011
.
Jason Cong,
2011,
Journal of Computer Science and Technology.
Jason Cong,
Kei-Yong Khoo,
1992
.
Jason Cong,
Glenn Reinman,
Guoling Han,
2008,
2008 IEEE/ACM International Conference on Computer-Aided Design.
Jason Cong,
Ming Yan,
Jianwen Chen,
2012,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Jason Cong,
Andrew B. Kahng,
Los Angeles,
1992
.
Jason Cong,
Deming Chen,
2004,
ICCAD 2004.
Jason Cong,
Tianming Kong,
Z. D. Pan,
2001,
IEEE Trans. Very Large Scale Integr. Syst..
Jason Cong,
Sinan Kaptanoglu,
J. Cong,
1998,
FPGA.
Jason Cong,
Fan Ye,
Guojie Luo,
2018
.
Jason Cong,
Miodrag Potkonjak,
Zhiru Zhang,
2008,
2008 Asia and South Pacific Design Automation Conference.
Jason Cong,
Derek Chiou,
Michael Adler,
2015,
FPGA.
Jason Cong,
Cody Hao Yu,
Zhe Chen,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Glenn Reinman,
Beayna Grigorian,
2011,
ASAP 2011 - 22nd IEEE International Conference on Application-specific Systems, Architectures and Processors.
Jason Cong,
Satoshi Goto,
Sheqin Dong,
2012,
Thirteenth International Symposium on Quality Electronic Design (ISQED).
Jason Cong,
Guojie Luo,
J. Cong,
2009,
2009 Asia and South Pacific Design Automation Conference.
Jason Cong,
Zhe Chen,
Hugh T. Blair,
2019,
FPGA.
Jason Cong,
Miodrag Potkonjak,
Darko Kirovski,
2006,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2006
.
Jason Cong,
C. L. Liu,
Bryan Preas,
1993,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Amit Agarwal,
Brian Tagiku,
2008,
2008 IEEE/ACM International Conference on Computer-Aided Design.
Jason Cong,
Zhenman Fang,
Mau-Chung Frank Chang,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Songwu Lu,
Jian Gong,
2014,
FPGA.
Jason Cong,
Zhenman Fang,
Peipei Zhou,
2016,
2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Mau-Chung Frank Chang,
Glenn Reinman,
2009,
SLIP '09.
Jason Cong,
Fan Ye,
Guojie Luo,
2018
.
Jason Cong,
Brian Tagiku,
Amit Kumar Agarwal,
2008,
ICCAD 2008.
Jason Cong,
Peng Li,
Peng Zhang,
2015,
FPGA.
Jason Cong,
Sung Kyu Lim,
2000,
ASP-DAC.
Jason Cong,
Sung Kyu Lim,
1998,
ICCAD.
Jason Cong,
Bingjun Xiao,
J. Cong,
2014,
ICANN.
Jason Cong,
Joey Y. Lin,
Wangning Long,
2002,
ICCAD 2002.
Jason Cong,
Wei Jiang,
2009
.
Jason Cong,
Yuze Chi,
Jiajie Li,
2020,
FPGA.
Jason Cong,
Songjie Xu,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Glenn Reinman,
Michael Gill,
2012,
ISLPED '12.
Jason Cong,
Wei Jiang,
Hui Huang,
2011,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Peng Zhang,
Yuxin Wang,
2016,
FPGAs for Software Programmers.
Jason Cong,
Dongmin Xu,
1995,
ASP-DAC '95.
Jason Cong,
Yuzheng Ding,
1994,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Joseph R. Shinnerl,
Jason Cong,
Michail Romesis,
2004,
ISPD '04.
Jason Cong,
Hao Wu,
Mau-Chung Frank Chang,
2013,
TACO.
Jason Cong,
Zhenman Fang,
Jingxian Xu,
2018,
FPGA.
Jason Cong,
Bingjun Xiao,
J. Cong,
2013,
2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Andrew B. Kahng,
Lars W. Hagen,
1992,
[1992] Proceedings 29th ACM/IEEE Design Automation Conference.
Jason Cong,
Michail Romesis,
2001,
Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
Jason Cong,
Zhenman Fang,
Muhuan Huang,
2018,
IEEE Design & Test.
Jason Cong,
Miodrag Potkonjak,
Zhiru Zhang,
2003,
ICCAD.
Jason Cong,
Patrick H. Madden,
J. Cong,
1998,
Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
Jason Cong,
Jie Wang,
Young-kyu Choi,
2020,
ArXiv.
Jason Cong,
Tony F. Chan,
Eric Radke,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Jason Cong,
Patrick H. Madden,
J. Cong,
1995,
Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.
Jason Cong,
2011,
ASAP.
Jason Cong,
Cody Hao Yu,
Mau-Chung Frank Chang,
2016,
2016 IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
John Peck,
Nicholas Bambos,
1996,
Photonics West.
Jason Cong,
Jie Fang,
Kei-Yong Khoo,
2000,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Chang Wu,
1998,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Songjie Xu,
2000,
ASP-DAC '00.
Jason Cong,
Miodrag Potkonjak,
Bin Liu,
2012,
2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).
Jason Cong,
Jie Fang,
Kei-Yong Khoo,
2000,
ISPD '00.
Jason Cong,
Guojie Luo,
J. Cong,
2010,
IPSJ Trans. Syst. LSI Des. Methodol..
Jason Cong,
Zhiru Zhang,
Yiping Fan,
2008
.
Jason Cong,
Min Xie,
2006,
Asia and South Pacific Conference on Design Automation, 2006..
Jason Cong,
Yan Zhang,
Jie Wei,
2007,
2007 Asia and South Pacific Design Automation Conference.
Jason Cong,
Yi Zou,
J. Cong,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Jason Cong,
Xu Cheng,
Junjuan Xu,
2005,
2005 IEEE International Symposium on Circuits and Systems.
Jason Cong,
2005,
FPGA '05.
Jason Cong,
Zhenman Fang,
Mau-Chung Frank Chang,
2018,
FCCM.
Jason Cong,
Zheng Li,
Rajive L. Bagrodia,
1994,
31st Design Automation Conference.
Jason Cong,
Lei He,
1996,
ICCAD 1996.
Joseph R. Shinnerl,
Jason Cong,
J. Cong,
2003
.
Jason Cong,
Glenn Reinman,
Michail Romesis,
2003,
Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
Jason Cong,
Zhiru Zhang,
Wei Jiang,
2006,
2006 IEEE International SOC Conference.
Jason Cong,
Joey Y. Lin,
Ashok Jagannathan,
2003,
FPGA '03.
Jason Cong,
Dinesh Manocha,
Sushil Jajodia,
2016,
IEEE Trans. Computers.
Jason Cong,
Kenneth L. Shepard,
Robert C. Aitken,
1998,
International Conference on Computer Aided Design.
Jason Cong,
Xin Yuan,
Chin-Chih Chang,
2003,
ASP-DAC '03.
Jason Cong,
Cody Hao Yu,
2015,
2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Guangyu Sun,
Nong Xiao,
2019,
IEEE Transactions on Computers.
Jason Cong,
Gi-Joon Nam,
2007,
Modern Circuit Placement.
Jason Cong,
Yi Zou,
2008,
FPGA '08.
Jason Cong,
Ronald Tetzlaff,
Gert Cauwenberghs,
2018,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Jason Cong,
Tony F. Chan,
Kenton Sze,
2005,
ISPD '05.
Jason Cong,
David Z. Pan,
Tianming Kong,
1999,
1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
Jason Cong,
Zhiru Zhang,
Deming Chen,
2007,
2007 Asia and South Pacific Design Automation Conference.
Jason Cong,
Muhuan Huang,
Kevin Lim,
2014,
2014 24th International Conference on Field Programmable Logic and Applications (FPL).
Jason Cong,
Songjie Xu,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Jason Cong,
Yean-Yow Hwang,
1998,
FPGA '98.
Jason Cong,
Xin Yuan,
2003,
Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
Jason Cong,
Bingjun Xiao,
2013,
FPGA '13.
Jason Cong,
Glenn Reinman,
Karthik Gururaj,
2014,
2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Tianming Kong,
2002
.
Jason Cong,
Amit Agarwal,
Brian Tagiku,
2013,
TODE.
Jason Cong,
Yuzheng Ding,
1992,
ICCAD 1992.
Jason Cong,
Bingjun Xiao,
J. Cong,
2011,
2011 IEEE/ACM International Symposium on Nanoscale Architectures.
Jason Cong,
Zhenman Fang,
Glenn Reinman,
2017,
MEMSYS.
Jason Cong,
Sung Kyu Lim,
J. Cong,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Guojie Luo,
2008,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Cheng-Kok Koh,
Min Xie,
2004,
ICCAD.
Jason Cong,
John Peck,
1997
.
Jason Cong,
Deming Chen,
Wen-mei W. Hwu,
2009,
ICS.
Jason Cong,
Cheng-Kok Koh,
Kwok-Shing Leung,
1994
.
Jason Cong,
Zhiru Zhang,
Xun Yang,
2004,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
C. L. Liu,
1990,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Tong He,
Zhenyuan Ruan,
2019,
USENIX Annual Technical Conference.
Jason Cong,
Milos D. Ercegovac,
Deming Chen,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Rakesh Chadha,
Anirudh Devgan,
1997,
DAC 1997.
Jason Cong,
Ming Yan,
Alex A. T. Bui,
2011
.
Jason Cong,
Cody Hao Yu,
Yuan Zhou,
2019,
FPGA.
Jason Cong,
Lei He,
1996,
Proceedings of International Conference on Computer Aided Design.
Jason Cong,
Kei-Yong Khoo,
1991,
[1991 Proceedings] IEEE International Conference on Computer Design: VLSI in Computers and Processors.
Jason Cong,
Bin Liu,
Peng Zhang,
2012,
LCPC.
Jason Cong,
Cheng-Kok Koh,
1998
.
Jason Cong,
Taku Uchino,
2002,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Ivo Bolsens,
Chris Rowen,
2004,
DAC '04.
Jason Cong,
Lieven Vandenberghe,
John Lee,
2008,
ISPD '08.
Joseph R. Shinnerl,
Jason Cong,
Tony F. Chan,
2003,
ICCAD-2003. International Conference on Computer Aided Design (IEEE Cat. No.03CH37486).
Jason Cong,
Jie Wang,
Xinfeng Xie,
2017,
2017 IEEE International Parallel and Distributed Processing Symposium (IPDPS).
Jason Cong,
Muhuan Huang,
Yi Zou,
2011,
2011 21st International Conference on Field Programmable Logic and Applications.
Jason Cong,
Zhiru Zhang,
Xun Yang,
2003,
ICCAD.
Jason Cong,
Alex A. T. Bui,
William Hsu,
2015,
Comput. Biol. Medicine.
Large Scale Circuit Partitioning With Loose/Stable Net Removal And Signal Flow Based Hierarchical Cl
Jason Cong,
Sung Kyu Lim,
Toshiyuki Shibuya,
1997,
ICCAD 1997.
Jason Cong,
Songwu Lu,
Guangyu Sun,
2014,
CARN.
Jason Cong,
Sung Kyu Lim,
J. Cong,
2000,
IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
Combining computation and communication optimizations in system synthesis for streaming applications
Jason Cong,
Peng Zhang,
Muhuan Huang,
2014,
FPGA.
Jason Cong,
Deming Chen,
2005
.
Jason Cong,
Yuzheng Ding,
2008,
Encyclopedia of Algorithms.
Jason Cong,
Young-kyu Choi,
J. Cong,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2003,
ICCAD 2003.
Jason Cong,
Hui Huang,
Mohammad Ali Ghodrat,
2016,
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).
Jason Cong,
Chang Wu,
1997,
DAC.
Jason Cong,
Fei Li,
Lei He,
2010,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Andrew B. Kahng,
Gabriel Robins,
1991,
28th ACM/IEEE Design Automation Conference.
Jason Cong,
Zhiru Zhang,
Bin Liu,
2010,
TODE.
Jason Cong,
Chen Zhang,
Yijin Guan,
2015,
FPGA.
Jason Cong,
Peng Zhang,
Yi Zou,
2011,
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
2015,
2015 28th IEEE International System-on-Chip Conference (SOCC).
Jason Cong,
Chin-Chih Chang,
J. Cong,
2000,
ISPD '00.
Jason Cong,
Zhiru Zhang,
Bin Liu,
2009,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Jason Cong,
Bryan Preas,
1992,
Integr..
Jason Cong,
Zhe Chen,
Hugh T. Blair,
2020,
FPGA.
Jason Cong,
1997,
Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.
Jason Cong,
Peng Li,
Chen Zhang,
2013,
FPGA '13.
Jason Cong,
Chang Wu,
Yuzheng Ding,
1999,
FPGA '99.
Jason Cong,
Xin Yuan,
J. Cong,
2000,
Proceedings 37th Design Automation Conference.
Jason Cong,
Andrew B. Kahng,
Gabriel Robins,
1991,
[1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.
Jason Cong,
Qiang Zhou,
Zhuoyuan Li,
2007,
2007 Asia and South Pacific Design Automation Conference.
Jason Cong,
2012
.
Jason Cong,
Yuchen Hao,
Glenn Reinman,
2017,
2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).
Jason Cong,
Kirill Minkovich,
2007,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Peng Wei,
Cody Hao Yu,
2018,
HotCloud.
Jason Cong,
John Peck,
1997,
Proceedings. The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines Cat. No.97TB100186).
Jason Cong,
Bin Liu,
Albert Liu,
2009,
CODES+ISSS '09.
Jason Cong,
Zhenman Fang,
Peipei Zhou,
2016,
FPGA.
Jason Cong,
Bingjun Xiao,
J. Cong,
2014,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jason Cong,
Po-Tsang Huang,
Tianhe Yu,
2018,
2018 28th International Conference on Field Programmable Logic and Applications (FPL).
Jason Cong,
Hui Huang,
2000,
DAC.
Jason Cong,
Mau-Chung Frank Chang,
Eran Socher,
2011,
Low Power Networks-on-Chip.
Jason Cong,
Zhiru Zhang,
Bin Liu,
2009,
FPGA '09.
Jason Cong,
David Z. Pan,
Xin Yuan,
2003,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Cheng-Kok Koh,
Min Xie,
2004,
ICCAD 2004.
Jason Cong,
Cody Hao Yu,
Di Wu,
2016,
2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Glenn Reinman,
Yuchun Ma,
2007,
2007 25th International Conference on Computer Design.
Jason Cong,
Deming Chen,
2004
.
Jason Cong,
Mau-Chung Frank Chang,
Eran Socher,
2008,
2008 41st IEEE/ACM International Symposium on Microarchitecture.
Jason Cong,
Yean-Yow Hwang,
1995
.
Jason Cong,
Guojie Luo,
Jiaxi Zhang,
2016,
ISPD.
Jason Cong,
Fei Li,
Lei He,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Majid Sarrafzadeh,
Andrew B. Kahng,
1993,
1993 IEEE International Symposium on Circuits and Systems.
Jason Cong,
Yan Zhang,
2006
.
Jason Cong,
Kuang-Chien Chen,
1992,
Proceedings EURO-DAC '92: European Design Automation Conference.
Joseph R. Shinnerl,
Jason Cong,
J. Cong,
2007,
Handbook of Approximation Algorithms and Metaheuristics.
Jason Cong,
Yan Zhang,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Jason Cong,
Peng Wei,
Po-Tsang Huang,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Yan Zhang,
Min Xie,
2005,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Glenn Reinman,
Yu-Ting Chen,
2015,
Customizable Computing.
Automated Accelerator Generation and Optimization with Composable, Parallel and Pipeline Architecture
pdf
Peng Zhang,
Jason Cong,
Peng Wei,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Jason Cong,
Deming Chen,
Alexandros Papakonstantinou,
2009,
2009 IEEE 7th Symposium on Application Specific Processors.
Peng Zhang,
Jason Cong,
Wen Gao,
2015,
2015 IEEE International Conference on Consumer Electronics (ICCE).
Jason Cong,
Andrew B. Kahng,
Gabriel Robins,
1993,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Zhiru Zhang,
Xun Yang,
2003,
First IEEE/ACM/IFIP International Conference on Hardware/ Software Codesign and Systems Synthesis (IEEE Cat. No.03TH8721).
Jason Cong,
Kei-Yong Khoo,
1992,
Proceedings EURO-DAC '92: European Design Automation Conference.
Jason Cong,
Min Xie,
Yan Zhang,
2003
.
Jason Cong,
D. S. Gao,
Dian Zhou,
1993,
1993 IEEE International Symposium on Circuits and Systems.
Robert K. Brayton,
Jason Cong,
R. Brayton,
2010,
IEEE Design & Test of Computers.
Jason Cong,
Wei Jiang,
Guoling Han,
2009,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jason Cong,
Eran Socher,
Glenn Reinman,
2008,
2008 IEEE 14th International Symposium on High Performance Computer Architecture.
Jason Cong,
Zhiru Zhang,
Guoling Han,
2005,
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
Jason Cong,
Bin Liu,
Wei Jiang,
2011,
TODE.
Jason Cong,
Bingjun Xiao,
J. Cong,
2012,
FPGA '12.
Jason Cong,
Kwang-Ting Cheng,
Alex A. T. Bui,
2012,
17th Asia and South Pacific Design Automation Conference.
Jason Cong,
Gang Chen,
2005,
FPGA '05.
Jason Cong,
Yuchen Hao,
Glenn Reinman,
2015,
2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
Jason Cong,
Gang Chen,
J. Cong,
2004,
FPL.
Jason Cong,
Zhiru Zhang,
Yiping Fan,
2004,
Proceedings. 41st Design Automation Conference, 2004..
Jason Cong,
Zhenman Fang,
Di Wu,
2018,
FPGA.
Jason Cong,
Glenn Reinman,
Michael Gill,
2014,
ACM Trans. Embed. Comput. Syst..
Jason Cong,
Deming Chen,
Yiping Fan,
2006,
2006 43rd ACM/IEEE Design Automation Conference.
Jason Cong,
Patrick H. Madden,
1997,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Wangning Long,
Yizhou Lin,
2002,
FPGA '02.
Jason Cong,
Chang Wu,
Honching Li,
1999,
DAC '99.
Jason Cong,
Yuzheng Ding,
1994,
Integr..
Jason Cong,
Zhiru Zhang,
Xun Yang,
2003,
ISPD '03.
Jason Cong,
Vaughn Betz,
2013,
FPGA '13.
Gang Chen,
Jason Cong,
2005
.
Jason Cong,
Kei-Yong Khoo,
J. Cong,
1993,
30th ACM/IEEE Design Automation Conference.
Jason Cong,
Mau-Chung Frank Chang,
Tatsuo Itoh,
2019,
IEEE Journal of Solid-State Circuits.
Jason Cong,
Glenn Reinman,
Yi Zou,
2011,
IEEE/ACM International Symposium on Low Power Electronics and Design.
Jason Cong,
Hao Wu,
Mau-Chung Frank Chang,
2012,
Proceedings of the IEEE 2012 Custom Integrated Circuits Conference.
Jason Cong,
Yiyu Shi,
Guojie Luo,
2013,
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
Jason Cong,
Puneet Gupta,
John Lee,
2009,
2009 Asia and South Pacific Design Automation Conference.
Jason Cong,
Cheng-Kok Koh,
Lei He,
1997
.
Jason Cong,
Wen Gao,
Meng Li,
2018,
DLMIA/ML-CDS@MICCAI.
Jason Cong,
Xin Li,
Sheqin Dong,
2008,
2008 Asia and South Pacific Design Automation Conference.
Jason Cong,
Bin Liu,
Guojie Luo,
2012,
ISPD '12.
Jason Cong,
Lei He,
1998,
ISPD '98.
Jason Cong,
Sung Kyu Lim,
J. Cong,
2000,
Proceedings 2000. Design Automation Conference. (IEEE Cat. No.00CH37106).
Jason Cong,
Muhuan Huang,
Yu-Ting Chen,
2013,
2013 IEEE 31st International Conference on Computer Design (ICCD).
Jason Cong,
Mau-Chung Frank Chang,
Yanghyo Kim,
2013,
IEEE Microwave and Wireless Components Letters.
Jason Cong,
Moazzem Hossain,
Naveed Sherwani,
1993,
The Sixth International Conference on VLSI Design.
Joseph R. Shinnerl,
Jason Cong,
Min Xie,
2005,
ISPD '05.
Peng Zhang,
Jason Cong,
Cody Hao Yu,
2018,
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
Jason Cong,
Xin Yuan,
David Z. Pan,
2001
.
Jason Cong,
Lei He,
1999
.
Jason Cong,
Hugh T. Blair,
Allan Wu,
2014,
Philosophical Transactions of the Royal Society B: Biological Sciences.
Jason Cong,
Michail Romesis,
2005
.
Jason Cong,
Adrian Tang,
Mau-Chung Frank Chang,
2018,
2018 IEEE International Solid - State Circuits Conference - (ISSCC).
Jason Cong,
Wei Jiang,
Hui Huang,
2010,
2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
Jason Cong,
Yun Liang,
Songwu Lu,
2017,
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).
Jason Cong,
Zhiru Zhang,
Shih-Chieh Chang,
2008,
2008 Asia and South Pacific Design Automation Conference.
Jason Cong,
Lei He,
1995,
ICCAD.
Jason Cong,
Min Xie,
Michail Romesis,
2003,
ICCAD 2003.
Jason Cong,
Hugh T. Blair,
Di Wu,
2013,
2013 IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Peng Zhang,
P. Sadayappan,
2013,
FPGA '13.
An Optimal Performance-Driven Technology Mapping Algorithm For Lut-Based Fpgas Under Arbitrary Net-D
Jason Cong,
Yuzheng Ding,
1993,
ICCAD 1993.
Jason Cong,
Chang Wu,
1999,
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
Jason Cong,
Deming Chen,
Junjuan Xu,
2006,
TODE.
Jason Cong,
Kenneth Yan,
2000,
FPGA '00.
Jason Cong,
Peng Li,
Deming Chen,
2013,
2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).
Jason Cong,
Cheng-Kok Koh,
Andrew B. Kahng,
1998,
TODE.
Jason Cong,
Yuzheng Ding,
1996,
TODE.
Jason Cong,
Di Wu,
Guangyu Sun,
2016,
ISLPED.
Jason Cong,
Yuze Chi,
J. Cong,
2020,
2020 57th ACM/IEEE Design Automation Conference (DAC).
Jason Cong,
Fan Ye,
Guojie Luo,
2018,
SpringerBriefs in Computer Science.
Jason Cong,
C. L. Liu,
Sai-keung Dong,
1989,
1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.
Jason Cong,
Muhuan Huang,
Yi Zou,
2011,
2011 IEEE 9th Symposium on Application Specific Processors (SASP).
Jason Cong,
Yizhou Lin,
2006
.
Jason Cong,
Peng Wei,
Cody Hao Yu,
2018,
2018 IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Yuzheng Ding,
1995,
Third International ACM Symposium on Field-Programmable Gate Arrays.
Jason Cong,
Hao Wu,
Mau-Chung Frank Chang,
2012,
IEEE Journal on Emerging and Selected Topics in Circuits and Systems.
Jason Cong,
Deming Chen,
Yiping Fan,
2010,
IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
Jason Cong,
Wei Jiang,
Bin Liu,
1999,
2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.
Jason Cong,
Zhiru Zhang,
Xu Cheng,
2005,
ASP-DAC.
Jason Cong,
Peipei Zhou,
Yuze Chi,
2018,
FPGA.
Jason Cong,
Zhiru Zhang,
Deming Chen,
2016,
IET Cyper-Phys. Syst.: Theory & Appl..
Jason Cong,
Kirill Minkovich,
2010,
Design Automation Conference.
Jason Cong,
Peipei Zhou,
Hui Huang,
2014,
2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.
Jason Cong,
Peng Wei,
Cody Hao Yu,
2018,
2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).
Jason Cong,
J. Cong,
2012,
ISPD '12.
Jason Cong,
Cody Hao Yu,
Atefeh Sohrabizadeh,
2020,
ACM Trans. Design Autom. Electr. Syst..
Jason Cong,
Yuze Chi,
Jason Lau,
2021,
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Licheng Guo,
Jie Wang,
2021,
FPGA.
SPA-GCN: Efficient and Flexible GCN Accelerator with Application for Graph Similarity Computation
pdf
Jason Cong,
Yuze Chi,
Atefeh Sohrabizadeh,
2021,
FPGA.
Jason Cong,
Yuze Chi,
Linghao Song,
2021,
ArXiv.
Jason Cong,
Peng Wei,
Ramesh Karri,
2021,
2021 Formal Methods in Computer Aided Design (FMCAD).
Jason Cong,
Bochen Tan,
J. Cong,
2021,
ArXiv.
Jason Cong,
Yuze Chi,
Atefeh Sohrabizadeh,
2021,
FPGA.
Jason Cong,
Yuze Chi,
Ecenur Ustun,
2021,
FPGA.
Search for Optimal Systolic Arrays: A Comprehensive Automated Exploration Framework and Lessons Learned
pdf
Jason Cong,
Jie Wang,
J. Cong,
2021,
ArXiv.
Jason Cong,
Liancheng Jia,
Jieming Yin,
2021,
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).
Jason Cong,
Bochen Tan,
2021,
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).
Jason Cong,
Peng Wei,
Cody Hao Yu,
2021,
FPGA.
Jason Cong,
Young-kyu Choi,
Yuze Chi,
2020,
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Bochen Tan,
2021,
IEEE Transactions on Computers.
Serpens: A High Bandwidth Memory Based Accelerator for General-Purpose Sparse Matrix-Vector Multiplication
pdf
Jason Cong,
Yuze Chi,
Licheng Guo,
2021,
ArXiv.
Jason Cong,
Yizhou Sun,
Atefeh Sohrabizadeh,
2021,
ArXiv.
Jason Cong,
Weikang Qiao,
Licheng Guo,
2021,
2021 IEEE 29th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).
Jason Cong,
Young-kyu Choi,
Yuze Chi,
2021,
FPGA.
Jason Cong,
Hugh T. Blair,
Daniel Aharoni,
2021,
2021 IEEE Biomedical Circuits and Systems Conference (BioCAS).
Jason Cong,
Guojie Luo,
Chunyue Liu,
2008
.
Jason Cong,
Yan Zhang,
2006
.
Joseph R. Shinnerl,
Jason Cong,
Michail Romesis,
2005
.
Jason Cong,
Songjie Xu,
Yuzheng Ding,
1998
.
Jason Cong,
Adrian Tang,
Tatsuo Itoh,
2017,
International Journal of Microwave and Wireless Technologies.
Jason Cong,
Andrew B. Kahng,
Gabriel Robins,
1993
.
Jason Cong,
Muhuan Huang,
Yi Zou,
2011
.
Jason Cong,
Wangning Long,
2000
.
Jason Cong,
David Zhigang Pan,
Prasanna V. Srinivas,
2000
.
Jason Cong,
Tony F. Chan,
Eric M. Radke,
2009
.
Jason Cong,
Majid Sarrafzadeh,
Andrew B. Kahng,
1994
.
Jason Cong,
Chin-Chih Chang,
1998
.
Jason Cong,
Kwok-Shing Leung,
1997,
Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.
Jason Cong,
Glenn Reinman,
Chunyue Liu,
2011
.
Jason Cong,
Yuzheng Ding,
1993,
Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).
Joseph R. Shinnerl,
Jason Cong,
Tony F. Chan,
2003,
ICCAD 2003.
Jason Cong,
Majid Sarrafzadeh,
Maogang Wang,
2000,
ASP-DAC '00.
Jason Cong,
Yean-Yow Hwang,
1999
.
Jason Cong,
Chin-Chih Chang,
1997
.