Rachata Ausavarungnirun

发表

Kevin Kai-Wei Chang, Rachata Ausavarungnirun, Chris Fallin, 2012, 2012 IEEE 24th International Symposium on Computer Architecture and High Performance Computing.

Reetuparna Das, Kevin Kai-Wei Chang, Rachata Ausavarungnirun, 2016, Parallel Comput..

Rachata Ausavarungnirun, Onur Mutlu, Jayneel Gandhi, 2017, ArXiv.

Reetuparna Das, Kevin Kai-Wei Chang, Rachata Ausavarungnirun, 2014, 2014 IEEE 26th International Symposium on Computer Architecture and High Performance Computing.

Kevin Kai-Wei Chang, Rachata Ausavarungnirun, Onur Mutlu, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Rachata Ausavarungnirun, Onur Mutlu, Juan Gómez-Luna, 2019, DAC.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2016, 2016 International Conference on Parallel Architecture and Compilation Techniques (PACT).

Tei-Wei Kuo, Rachata Ausavarungnirun, Chun Jason Xue, 2020, HotStorage.

Rachata Ausavarungnirun, Onur Mutlu, Brandon Lucia, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Rachata Ausavarungnirun, Onur Mutlu, Jayneel Gandhi, 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Rachata Ausavarungnirun, Onur Mutlu, Samira Manabi Khan, 2016, ArXiv.

Hamid Sarbazi-Azad, Babak Falsafi, Rachata Ausavarungnirun, 2018, ASPLOS.

Rachata Ausavarungnirun, Onur Mutlu, Justin Meza, 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Rachata Ausavarungnirun, Chris Fallin, Onur Mutlu, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Jongmoo Choi, Rachata Ausavarungnirun, Onur Mutlu, 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

Masoud Daneshtalab, Rachata Ausavarungnirun, Mehdi Modarressi, 2020, IEEE Computer Architecture Letters.

Kevin Kai-Wei Chang, Rachata Ausavarungnirun, Chris Fallin, 2014 .

Tei-Wei Kuo, Rachata Ausavarungnirun, Chun Jason Xue, 2020 .

Kevin Kai-Wei Chang, Rachata Ausavarungnirun, Chris Fallin, 2011 .

Tei-Wei Kuo, Rachata Ausavarungnirun, Chun Jason Xue, 2020, USENIX Annual Technical Conference.

Rachata Ausavarungnirun, Onur Mutlu, Jayneel Gandhi, 2018, ArXiv.

Rachata Ausavarungnirun, Onur Mutlu, Justin Meza, 2018, ArXiv.

Kevin Kai-Wei Chang, Rachata Ausavarungnirun, Chris Fallin, 2012, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2015, 2015 International Conference on Parallel Architecture and Compilation (PACT).

Rachata Ausavarungnirun, Saugata Ghose, Kevin Hsieh, 2018, Beyond-CMOS Technologies for Next Generation Computer Design.

Rachata Ausavarungnirun, Onur Mutlu, Gabriel H. Loh, 2018, ArXiv.

Rachata Ausavarungnirun, Onur Mutlu, Saugata Ghose, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Rachata Ausavarungnirun, Onur Mutlu, Rahul Thakur, 2018, ASPLOS.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2016, ArXiv.

Rachata Ausavarungnirun, Onur Mutlu, Saugata Ghose, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Kevin Kai-Wei Chang, Rachata Ausavarungnirun, Chris Fallin, 2011 .

Kevin Kai-Wei Chang, Rachata Ausavarungnirun, Chris Fallin, 2013 .

Rachata Ausavarungnirun, Jayneel Gandhi, Christopher J. Rossbach, 2020, PACT.

Reetuparna Das, Rachata Ausavarungnirun, Onur Mutlu, 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

Rachata Ausavarungnirun, Chris Fallin, Onur Mutlu, 2013 .

Torsten Hoefler, Sudhakar Yalamanchili, Rachata Ausavarungnirun, 2018, ASPLOS.

Rachata Ausavarungnirun, Onur Mutlu, Saugata Ghose, 2018, ArXiv.

Rachata Ausavarungnirun, Onur Mutlu, Justin Meza, 2011 .

Reetuparna Das, Kevin Kai-Wei Chang, Rachata Ausavarungnirun, 2012 .

Rachata Ausavarungnirun, Onur Mutlu, Jayneel Gandhi, 2018, ASPLOS.

Rachata Ausavarungnirun, Rachata Ausavarungnirun, 2018, ArXiv.

Mahmut T. Kandemir, Chita R. Das, Nachiappan Chidambaram Nachiappan, 2014, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture.

Rachata Ausavarungnirun, Onur Mutlu, Saugata Ghose, 2020, ArXiv.

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2018, ArXiv.

Yang Li, Jie Liu, Rachata Ausavarungnirun, 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Hamid Sarbazi-Azad, Rachata Ausavarungnirun, Onur Mutlu, 2019, ACM Trans. Archit. Code Optim..

Reetuparna Das, Rachata Ausavarungnirun, Onur Mutlu, 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

Mahmut T. Kandemir, Chita R. Das, Rachata Ausavarungnirun, 2015, 2015 ACM/IEEE 42nd Annual International Symposium on Computer Architecture (ISCA).

Rachata Ausavarungnirun, Chris Fallin, Onur Mutlu, 2018, ArXiv.

Rachata Ausavarungnirun, Onur Mutlu, Juan Gómez-Luna, 2019, Microprocess. Microsystems.

Torsten Hoefler, Rachata Ausavarungnirun, Onur Mutlu, 2021, MICRO.

Rachata Ausavarungnirun, Yang Guo, Chen Li, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Narayanan Vijaykrishnan, Rachata Ausavarungnirun, Tajana Simunic, 2021, 2021 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Hamid Sarbazi-Azad, Babak Falsafi, Rachata Ausavarungnirun, 2021, ACM Trans. Comput. Syst..