N. Pete Sedcole

发表

Wayne Luk, Terrence S. T. Mak, Alexandre Yakovlev, 2008, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008).

Peter Y. K. Cheung, N. Pete Sedcole, Justin S. J. Wong, 2009, TRETS.

Peter Y. K. Cheung, N. Pete Sedcole, Justin S. J. Wong, 2008, 2008 International Conference on Field-Programmable Technology.

Peter Y. K. Cheung, N. Pete Sedcole, Justin S. J. Wong, 2008, 2008 International Conference on Field-Programmable Technology.

Peter Y. K. Cheung, N. Pete Sedcole, Justin S. J. Wong, 2008, FPGA '08.

Tobias Becker, N. Pete Sedcole, Patrick Lysaght, 2005, International Conference on Field Programmable Logic and Applications, 2005..

Peter Y. K. Cheung, N. Pete Sedcole, Justin S. J. Wong, 2007, 2007 International Conference on Field-Programmable Technology.

Peter Y. K. Cheung, Edward A. Stott, N. Pete Sedcole, 2008, 2008 International Conference on Field Programmable Logic and Applications.

Peter Y. K. Cheung, N. Pete Sedcole, P. Cheung, 2007, FPGA '07.

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2006, 2006 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation.

Peter Y. K. Cheung, N. Pete Sedcole, P. Cheung, 2006, 2006 IEEE International Conference on Field Programmable Technology.

Peter Y. K. Cheung, Edward A. Stott, N. Pete Sedcole, 2010, FPGA '10.

Wayne Luk, Terrence S. T. Mak, Alexandre Yakovlev, 2008, SLIP '08.

Wayne Luk, Terrence S. T. Mak, Peter Y. K. Cheung, 2008, 2008 International Conference on Field-Programmable Technology.

Peter Y. K. Cheung, N. Pete Sedcole, Justin S. J. Wong, 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2003, FPL.

Peter Y. K. Cheung, N. Pete Sedcole, Justin S. J. Wong, 2008, 2008 International Conference on Field Programmable Logic and Applications.

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2004, 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines.

Wayne Luk, Terrence S. T. Mak, Peter Y. K. Cheung, 2008, SLIP '08.

Wayne Luk, Terrence S. T. Mak, Peter Y. K. Cheung, 2006, 2006 International Conference on Field Programmable Logic and Applications.

Li Wang, Terrence S. T. Mak, Peter Y. K. Cheung, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Wayne Luk, Terrence S. T. Mak, Peter Y. K. Cheung, 2010, Integr..

Wayne Luk, Peter Y. K. Cheung, George A. Constantinides, 2004, FPL.

Wayne Luk, Terrence S. T. Mak, Peter Y. K. Cheung, 2007, First International Symposium on Networks-on-Chip (NOCS'07).

Peter Y. K. Cheung, Edward A. Stott, N. Pete Sedcole, 2009, 2009 International Conference on Field Programmable Logic and Applications.

Peter Y. K. Cheung, Edward A. Stott, N. Pete Sedcole, 2010, IET Computers & Digital Techniques.