Chia-Lin Yang

发表

Naehyuck Chang, Sangyoung Park, Jian-Jia Chen, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Chia-Lin Yang, Hsueh-Chun Fu, Po-Han Wang, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2007, TODE.

Jörg Henkel, Chia-Lin Yang, Michael T. Niemier, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ren-Shuo Liu, Chia-Lin Yang, De-Yu Shen, 2014, ASPLOS.

Chia-Lin Yang, Wei-Hsuan Hung, Yi-Jung Chen, 2007, SAC '07.

Chia-Lin Yang, Che-Wei Chang, Yi-Jung Chen, 2017, IEEE Transactions on Computers.

Chia-Lin Yang, Ping-Hung Yuh, Yao-Wen Chang, 2004, ICCAD 2004.

Ren-Shuo Liu, Chia-Lin Yang, Hsiang-Pang Li, 2016, IEEE Transactions on Computers.

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

Chia-Lin Yang, Chien-I Lee, Meng-Yao Lin, 2019, 2019 IEEE International Conference on Image Processing (ICIP).

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Chia-Lin Yang, Chien-Hao Lee, 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

Yao-Wen Chang, Chia-Lin Yang, Chung-Wei Lin, 2007, ISPD '07.

Chia-Lin Yang, Hsiang-Pang Li, Hung-Sheng Chang, 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).

Chia-Lin Yang, Wan-Chun Ma, 2002, IEEE Pacific Rim Conference on Multimedia.

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chia-Lin Yang, Feipei Lai, Yen-Jen Chang, 2004, IEEE Trans. Very Large Scale Integr. Syst..

Chia-Lin Yang, Mithuna Thottethodi, Alvin R. Lebeck, 1999, Euro-Par.

Chia-Lin Yang, Yi-Chang Lu, Hitoshi Mizunuma, 2013, 2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT).

Tei-Wei Kuo, Chi-Sheng Shih, Liang-Gee Chen, 2007, 2007 IEEE International Conference on Multimedia and Expo.

Chia-Lin Yang, Chung-Hsiang Lin, Ku-Jei King, 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

Naehyuck Chang, Chia-Lin Yang, Tay-Jyi Lin, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yao-Wen Chang, Chia-Lin Yang, Sachin S. Sapatnekar, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2007, ICCAD 2007.

Tei-Wei Kuo, Jian-Jia Chen, Chia-Lin Yang, 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

Chia-Lin Yang, Tzu-Ching Lin, Ye-Jyun Lin, 2008, 2008 International SoC Design Conference.

Tei-Wei Kuo, Jian-Jia Chen, Chia-Lin Yang, 2004, Proceedings. 16th Euromicro Conference on Real-Time Systems, 2004. ECRTS 2004..

Chia-Lin Yang, Ping-Hung Yuh, Chi-Feng Li, 2009, TODE.

Chia-Lin Yang, Ja-Ling Wu, Hong-Ming Chen, 2009, J. Signal Process. Syst..

Chia-Lin Yang, Han-Lin Li, Hung-Wei Tseng, 2008, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chia-Lin Yang, Yung-Hsiang Lu, Martin Dimitrov, 2014, IEEE Des. Test.

Chia-Lin Yang, Alvin R. Lebeck, A. Lebeck, 2009, ISHPC.

Chia-Lin Yang, Yi-Shin Tung, Tse-Tsung Shih, 2004, PCM.

Chia-Lin Yang, Yi-Chan Li, Hisu-Hsien Li, 2009, 2009 International Symposium on VLSI Design, Automation and Test.

Chia-Lin Yang, Yi-Jung Chen, Shun-Ying Wang, 2006, Asia-Pacific Computer Systems Architecture Conference.

Chia-Lin Yang, Chun Jason Xue, Cheng Ji, 2019, 2019 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Meng-Fan Chang, Chia-Lin Yang, Wei-Ting Lin, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Tei-Wei Kuo, Chia-Lin Yang, Chin-Hsien Wu, 2004, International Conference on Hardware/Software Codesign and System Synthesis, 2004. CODES + ISSS 2004..

Jian-Jia Chen, Chia-Lin Yang, Yi-Jung Chen, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Tei-Wei Kuo, Chia-Lin Yang, Chin-Hsien Wu, 2006, Ninth IEEE International Symposium on Object and Component-Oriented Real-Time Distributed Computing (ISORC'06).

Chia-Lin Yang, Jian Li, Hsiang-Yun Cheng, 2010, INTERACT-14.

Tei-Wei Kuo, Jian-Jia Chen, Chia-Lin Yang, 2004, SAC '04.

Chia-Lin Yang, Yi-Chang Lu, Hitoshi Mizunuma, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chia-Lin Yang, James C. Chen, Chien-Hsin Lin, 2011, Int. J. Electron. Bus. Manag..

Naehyuck Chang, Chia-Lin Yang, Ye-Jyun Lin, 2012, 17th Asia and South Pacific Design Automation Conference.

Chia-Lin Yang, De-Yu Shen, Cheng-Yuan Michael Wang, 2012, 2012 IEEE 30th International Conference on Computer Design (ICCD).

Yao-Wen Chang, Chia-Lin Yang, Sachin S. Sapatnekar, 2009, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wei Wu, Ren-Shuo Liu, Chia-Lin Yang, 2012, FAST.

Chia-Lin Yang, Hsueh-Chun Fu, Po-Han Wang, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Chia-Lin Yang, Shih-Lien Lu, Ying-Chen Lin, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Chia-Lin Yang, Chun-Yang Chen, Shih-Hao Hung, 2005, Asia-Pacific Computer Systems Architecture Conference.

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Chia-Lin Yang, Po-Han Wang, Hsiang-Yun Cheng, 2017, IEEE Computer Architecture Letters.

Chia-Lin Yang, Chung-Hsiang Lin, Ku-Jei King, 2006 .

Chia-Lin Yang, De-Yu Shen, Cheng-Yuan Michael Wang, 2015, TACO.

Chia-Lin Yang, Yi-Jung Chen, Yen-Sheng Chang, 2007, SAC '07.

Chia-Lin Yang, Ja-Ling Wu, Chia-Chiang Ho, 2005, IEEE Transactions on Circuits and Systems for Video Technology.

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Chia-Lin Yang, Chung-Hsiang Lin, Ku-Jei King, 2009, ISLPED.

Ren-Shuo Liu, Chia-Lin Yang, Geng-You Chen, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Ren-Shuo Liu, Chia-Lin Yang, Yun-Cheng Tsai, 2010, IEEE International Symposium on Workload Characterization (IISWC'10).

Chia-Lin Yang, Jishen Zhao, Chun-Hao Lai, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

Naehyuck Chang, Chia-Lin Yang, Tay-Jyi Lin, 2015, ACM Trans. Embed. Comput. Syst..

Chia-Lin Yang, David Garrett, 2017, ISLPED.

Chia-Lin Yang, Hsiang-Pang Li, Shun-Chih Yu, 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Chia-Lin Yang, Po-Han Wang, Yu-Jung Cheng, 2012, 2012 IEEE International Symposium on Performance Analysis of Systems & Software.

Chia-Lin Yang, Hung-Wei Tseng, Han-Lin Li, 2008 .

Chia-Lin Yang, Po-Han Wang, Yi-Jung Chen, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Jian-Jia Chen, Chia-Lin Yang, Yi-Jung Chen, 2011, IEEE Transactions on Computers.

Chia-Lin Yang, Feipei Lai, Yen-Jen Chang, 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.

Tei-Wei Kuo, Jian-Jia Chen, Chia-Lin Yang, 2007 .

Chia-Lin Yang, Chung-Hsiang Lin, Teng-Feng Yang, 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

Ren-Shuo Liu, Chia-Lin Yang, Hsiang-Pang Li, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Tao Li, Chia-Lin Yang, Renhai Chen, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Chia-Lin Yang, Po-Han Wang, Yen-Ming Chen, 2011, TACO.

Chia-Lin Yang, Hung-Wei Tseng, Chien-hao Lee, 2005, Conference, Emerging Information Technology 2005..

Chia-Lin Yang, Feipei Lai, Yen-Jen Chang, 2003, ISLPED '03.

Chia-Lin Yang, Hsiang-Pang Li, Cheng-Yuan Michael Wang, 2017, ACM Trans. Design Autom. Electr. Syst..

Chia-Lin Yang, Han-Lin Li, Hung-Wei Tseng, 2006, ISLPED'06 Proceedings of the 2006 International Symposium on Low Power Electronics and Design.

Chia-Lin Yang, Alvin R. Lebeck, A. Lebeck, 2000, ICS '00.

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2011, International Workshop on System Level Interconnect Prediction.

Chia-Lin Yang, Barton Sano, Alvin R. Lebeck, 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.

Chia-Lin Yang, Chien-Hao Lee, Hung-Wei Tseng, 2004, TACO.

Jian-Jia Chen, Chia-Lin Yang, Yi-Jung Chen, 2007, CASES '07.

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2004 .

Yao-Wen Chang, Chia-Lin Yang, Ping-Hung Yuh, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Tei-Wei Kuo, Chia-Lin Yang, Pi-Cheng Hsiu, 2012, DAC Design Automation Conference 2012.

Yao-Wen Chang, Chia-Lin Yang, Chung-Wei Lin, 2008, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chia-Lin Yang, Jen-Chieh Yeh, Po-Han Wang, 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.

Chia-Lin Yang, Yi-Chang Lu, Hitoshi Mizunuma, 2009, 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers.

Tei-Wei Kuo, Chi-Sheng Shih, Liang-Gee Chen, 2005, 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA'05).

Li Wang, Jenq Kuen Lee, Chia-Lin Yang, 2017, 2017 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Chia-Lin Yang, Hung-Wei Tseng, Chia-Chiang Ho, 2003, Fourth International Conference on Information, Communications and Signal Processing, 2003 and the Fourth Pacific Rim Conference on Multimedia. Proceedings of the 2003 Joint.

Chia-Lin Yang, Po-Han Wang, Yen-Ming Chen, 2009, IEEE Computer Architecture Letters.

Chia-Lin Yang, Hsiang-Pang Li, Cheng-Yuan Michael Wang, 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).

Jian Li, Chia-Lin Yang, Hsiang-Yun Cheng, 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

Ru Huang, Chia-Lin Yang, Yiran Chen, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Chia-Lin Yang, Po-Han Wang, Cheng-Hsuan Li, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Chia-Lin Yang, Hsiang-Yun Cheng, Zhi-Lin Ke, 2021, 2021 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

Tei-Wei Kuo, Chia-Lin Yang, Chun Jason Xue, 2021, APSys.

Chia-Lin Yang, Hussam Amrouch, Hang-Ting Lue, 2021, 2021 IEEE International Reliability Physics Symposium (IRPS).

Tei-Wei Kuo, Jian-Jia Chen, Chia-Lin Yang, 2021, Design, Automation and Test in Europe.