Jungrae Kim

发表

Hyunseung Choo, Jungrae Kim, Jahwan Koo, 2007, HCI.

Mattan Erez, Michael Sullivan, Sangkug Lym, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Mattan Erez, Michael Sullivan, Jungrae Kim, 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).

Mattan Erez, Jungrae Kim, Michael B. Sullivan, 2015, SC15: International Conference for High Performance Computing, Networking, Storage and Analysis.

Jungrae Kim, Kyungmin Lee, J. Gandhi, 2016 .

Jinsuk Chung, Mattan Erez, Jungrae Kim, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Hyunseung Choo, Won Kim, Ki-Young Jang, 2007, ICCSA.

Sangkug Lym, Jungrae Kim, Yongkee Kwon, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Mattan Erez, Sangkug Lym, Jungrae Kim, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Mattan Erez, Jungrae Kim, Michael B. Sullivan, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Mattan Erez, Jungrae Kim, Seong-Lyong Gong, 2017, 2017 47th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W).

Jungrae Kim, Jong Hwan Ko, Ji Sub Choi, 2021, 2021 International Conference on Information and Communication Technology Convergence (ICTC).

Hyunseung Choo, Jungrae Kim, Jahwan Koo, 2008, KSII Trans. Internet Inf. Syst..