Michael B. Sullivan

发表

Earl E. Swartzlander, Michael B. Sullivan, 2012, 2012 IEEE 23rd International Conference on Application-Specific Systems, Architectures and Processors.

Mattan Erez, Sangkug Lym, Chun-Kai Chang, 2018, 2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (DSN-W).

Jinsuk Chung, Ikhwan Lee, Jee Ho Ryoo, 2012, 2012 International Conference for High Performance Computing, Networking, Storage and Analysis.

Mattan Erez, Sangkug Lym, Chun-Kai Chang, 2018, SC18: International Conference for High Performance Computing, Networking, Storage and Analysis.

Mattan Erez, Jungrae Kim, Michael B. Sullivan, 2015, SC15: International Conference for High Performance Computing, Networking, Storage and Analysis.

Earl E. Swartzlander, Michael B. Sullivan, Michael B. Sullivan, 2015, 2015 IEEE 22nd Symposium on Computer Arithmetic.

Earl E. Swartzlander, Michael B. Sullivan, 2013, 2013 Asilomar Conference on Signals, Systems and Computers.

Stephen W. Keckler, Brian Zimmer, Michael B. Sullivan, 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mattan Erez, Jingwen Leng, Michael B. Sullivan, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Stephen W. Keckler, Abdulrahman Mahmoud, Michael B. Sullivan, 2018, SC18: International Conference for High Performance Computing, Networking, Storage and Analysis.

David W. Nellans, Mattan Erez, Stephen W. Keckler, 2019, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Doe Hyun Yoon, Mattan Erez, Michael B. Sullivan, 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

Ravishankar K. Iyer, Zbigniew T. Kalbarczyk, Stephen W. Keckler, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Karthik Pattabiraman, Michael B. Sullivan, Guanpeng Li, 2018, 2018 48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).

Earl E. Swartzlander, Michael B. Sullivan, 2012, 2012 Conference Record of the Forty Sixth Asilomar Conference on Signals, Systems and Computers (ASILOMAR).

Charbel Sakr, Pavlo Molchanov, Sarita V. Adve, 2020, ArXiv.

Guanpeng Li, Karthik Pattabiraman, Stephen W. Keckler, 2017, SC17: International Conference for High Performance Computing, Networking, Storage and Analysis.

Earl E. Swartzlander, Michael B. Sullivan, 2011, 2011 Conference Record of the Forty Fifth Asilomar Conference on Signals, Systems and Computers (ASILOMAR).

Mattan Erez, Stephen W. Keckler, Michael B. Sullivan, 2019, ICS.

Mattan Erez, Stephen W. Keckler, Michael B. Sullivan, 2019, 2019 49th Annual IEEE/IFIP International Conference on Dependable Systems and Networks – Supplemental Volume (DSN-S).

Mattan Erez, Sangkug Lym, Jungrae Kim, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Earl E. Swartzlander, Michael B. Sullivan, Michael B. Sullivan, 2013, 2013 IEEE 21st Symposium on Computer Arithmetic.

Mattan Erez, Jungrae Kim, Michael B. Sullivan, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Stephen W. Keckler, Michael B. Sullivan, Timothy Tsai, 2020, IEEE Transactions on Dependable and Secure Computing.