Jae-Sun Seo

发表

Eriko Nurvitadhi, Shihui Yin, Shreyas K. Venkataramanaiah, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Arindam Basu, Huichu Liu, Chang Song, 2018, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Aurel A. Lazar, Mingoo Seok, Minhao Yang, 2019, 2019 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Mingoo Seok, Shihui Yin, Jae-Sun Seo, 2019, ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC).

Minkyu Kim, Jae-Sun Seo, Jae-sun Seo, 2020, 2020 IEEE Custom Integrated Circuits Conference (CICC).

Yu Cao, Haiyao Huang, Jae-Sun Seo, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Yandong Luo, Shimeng Yu, Xu Han, 2020, IEEE Transactions on Electron Devices.

Xiaoyu Sun, Shimeng Yu, Jae-Joon Kim, 2020, IEEE Solid-State Circuits Letters.

Shihui Yin, Jae-Sun Seo, Bipin Rajendran, 2019, 2019 26th IEEE International Conference on Electronics, Circuits and Systems (ICECS).

Shihui Yin, Jae-Sun Seo, Jae-sun Seo, 2020, IEEE Solid-State Circuits Letters.

Sang Joon Kim, Shihui Yin, Jae-Sun Seo, 2019, 2019 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Yu Cao, Jae-Sun Seo, Xin Li, 2019, ACM J. Emerg. Technol. Comput. Syst..

Xiaochen Peng, Yandong Luo, Shimeng Yu, 2020, 2020 IEEE International Symposium on Circuits and Systems (ISCAS).

Umit Y. Ogras, Yu Cao, Jae-Sun Seo, 2020, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Mingoo Seok, Shihui Yin, Jae-Sun Seo, 2019, IEEE Solid-State Circuits Letters.

Chaitali Chakrabarti, Jae-Sun Seo, Deepak Kadetotad, 2019, ESSCIRC 2019 - IEEE 45th European Solid State Circuits Conference (ESSCIRC).

Tinoosh Mohsenin, Jae-Sun Seo, Arnab Neelim Mazumder, 2021, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Xiaochen Peng, Shimeng Yu, Deliang Fan, 2021, IEEE Transactions on Circuits and Systems II: Express Briefs.

Mingoo Seok, Shihui Yin, Jae-Sun Seo, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Chaitali Chakrabarti, Ümit Y. Ogras, Yu Cao, 2021, 2021 IEEE 14th International Conference on ASIC (ASICON).

Houman Homayoun, Tinoosh Mohsenin, Jae-Sun Seo, 2021, IEEE J. Emerg. Sel. Topics Circuits Syst..