Chien-Hung Tsai

发表

Chien-Hung Tsai, Jia-Hui Wang, C.-T. Chang, 2009 .

Chen-Yu Wang, Chien-Hung Tsai, Jia-Hui Wang, 2010, IET Circuits Devices Syst..

Chien-Hung Tsai, Jing-Teng Lin, Jiunn-Hung Shiau, 2014, Technical Papers of 2014 International Symposium on VLSI Design, Automation and Test.

Chien-Hung Tsai, Chun-Hung Yang, Chun-Nan Liu, 2010, 2010 International Symposium on Next Generation Electronics.

Chien-Hung Tsai, Chun-Hung Yang, Jia-Hui Wang, 2010, 2010 International Symposium on Next Generation Electronics.

Chien-Hung Tsai, Jia-Hui Wang, Chun-Sheng Huang, 2011, Proceedings of 2011 International Symposium on VLSI Design, Automation and Test.

Chien-Hung Tsai, Chun-Hung Yang, Jun-Yan Liu, 2011, 2011 IEEE Ninth International Conference on Power Electronics and Drive Systems.

Chien-Hung Tsai, Wei-Hsun Chang, Yung-Hsin Jen, 2009, 2009 International Conference on Power Electronics and Drive Systems (PEDS).

Chien-Hung Tsai, Chun-Hung Yang, Hung-Yuan Chu, 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

Chien-Hung Tsai, Chun-Hung Yang, Chin-Wei Mu, 2011, IECON 2011 - 37th Annual Conference of the IEEE Industrial Electronics Society.

Chien-Hung Tsai, Chun-Hung Yang, Chun-Nan Liu, 2010, 2010 2nd International Symposium on Aware Computing.

Chien-Hung Tsai, Jia-Hui Wang, Huei-Sheng Jhuang, 2009, Proceedings of the 2009 12th International Symposium on Integrated Circuits.

Chien-Hung Tsai, Wei-Hsun Chang, Yung-Hsing Jen, 2008, 2008 International Conference on Communications, Circuits and Systems.

Chien-Hung Tsai, Kai-Syuan Chang, 2017, 2017 IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia).

Soon-Jyh Chang, Bin-Da Liu, Chien-Hung Tsai, 2008, IEICE Trans. Electron..

Chien-Hung Tsai, Yung-Chien Chang, 2013, 2013 1st International Future Energy Electronics Conference (IFEEC).

Chien-Hung Tsai, Chun-Hung Yang, Kai-Cheung Juang, 2013, 2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT).

Chien-Hung Tsai, Chun-Hsiao Teng, 2014, 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Chien-Wu Tsai, Chien-Hung Tsai, Yi-Yang Tsai, 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

Chien-Hung Tsai, Jia-Hui Wang, Chun-Chi Yeh, 2010, The 2010 International Conference on Green Circuits and Systems.

Chien-Hung Tsai, Chun-Hung Yang, Jiunn-Hung Shiau, 2014, IEEE Transactions on Power Electronics.

Chen-Yu Wang, Chien-Hung Tsai, Jia-Hui Wang, 2011, IEEE Asian Solid-State Circuits Conference 2011.

Chien-Hung Tsai, Chun-Hung Yang, Jiunn-Hung Shiau, 2010, 2010 2nd International Symposium on Aware Computing.

Chien-Hung Tsai, Jia-Hui Wang, Chun-Sheng Huang, 2010, The 2010 International Conference on Green Circuits and Systems.

Chien-Hung Tsai, Chi-Yuan Huang, Yi-Ting Chang, 2012, Anti-counterfeiting, Security, and Identification.

Chien-Hung Tsai, Jia-Hui Wang, Chuen-Chi Yeh, 2009, Proceedings of the 2009 12th International Symposium on Integrated Circuits.

Chen-Yu Wang, Chien-Hung Tsai, Jia-Hui Wang, 2007, 2007 IEEE Conference on Electron Devices and Solid-State Circuits.

Chien-Hung Tsai, Chi-Yuan Huang, Shu-Fen Kang, 2013, 2013 1st International Future Energy Electronics Conference (IFEEC).

Chien-Hung Tsai, Yu-Shin Tsai, Han-Chien Liu, 2015, IEEE Transactions on Industrial Electronics.

Chien-Hung Tsai, Chun-Sheng Huang, Shih-Mei Lin, 2013, IEEE Transactions on Power Electronics.

Chien-Hung Tsai, Hsin-Lun Li, Chia-Cheng Pao, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Chien-Hung Tsai, Chun-Hung Yang, Chi-Wai Leng, 2014, Int. J. Circuit Theory Appl..

Chien-Hung Tsai, Chun-Hung Yang, Jui-Chi Wu, 2013, IEEE Transactions on Industrial Electronics.

Chien-Hung Tsai, Shih-Mei Lin, Chi-Yuan Huang, 2015, 2015 IEEE 2nd International Future Energy Electronics Conference (IFEEC).

Chien-Hung Tsai, Kai-Yu Hu, Yu-Sin Chen, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Chien-Hung Tsai, Chun-Hung Yang, Chi-Wai Leng, 2008, 2008 International Conference on Communications, Circuits and Systems.

Chien-Hung Tsai, Jia-Hui Wang, 2011, IET Circuits Devices Syst..

Chien-Hung Tsai, Bo-Ming Chen, Kai-Yu Hu, 2017, 2017 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Chen-Yu Wang, Chien-Hung Tsai, Jhih-Sian Guo, 2017, Int. J. Circuit Theory Appl..

Chien-Hung Tsai, Chun-Yu Chen, Kai-Yu Hu, 2018, 2018 IEEE 7th Global Conference on Consumer Electronics (GCCE).

Chien-Hung Tsai, Jing-Teng Lin, Kai-yu Hu, 2015, TENCON 2015 - 2015 IEEE Region 10 Conference.

Chien-Hung Tsai, Chun-Yu Chen, Yi-Jing Lee, 2020, IEEE Access.

Chien-Hung Tsai, Yin-Di Yang, Kai-Yu Hu, 2020, IEEE Transactions on Industrial Electronics.

Chen-Yu Wang, Chien-Hung Tsai, Jia-Hui Wang, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Chien-Hung Tsai, Chun-Hung Yang, Chi-Wai Leng, 2009, 2009 International Symposium on VLSI Design, Automation and Test.

Chien-Hung Tsai, Chia-Cheng Pao, Yan-Chih Chen, 2014, 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Chien-Hung Tsai, Kai-Yu Hu, Yu-Huang Chen, 2018, 2018 IEEE 7th Global Conference on Consumer Electronics (GCCE).

Chen-Yu Wang, Chien-Hung Tsai, Jia-Hui Wang, 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

Chien-Hung Tsai, Chun-Hung Yang, Huei-Shan Chen, 2012, 2012 IEEE 13th Workshop on Control and Modeling for Power Electronics (COMPEL).

Chien-Hung Tsai, Shih-Mei Lin, Jhih-Sian Guo, 2013, 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Chung-Ho Chen, Kuen-Jong Lee, Jai-Ming Lin, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Chen-Yu Wang, Chien-Hung Tsai, Jia-Hui Wang, 2009, 2009 International Symposium on VLSI Design, Automation and Test.

Chen-Yu Wang, Chien-Hung Tsai, Jhih-Sian Guo, 2013, 2013 International Symposium onVLSI Design, Automation, and Test (VLSI-DAT).

Chien-Hung Tsai, Chi-Yuan Huang, Ying-Chi Chen, 2013, 2013 International Symposium on Next-Generation Electronics.

Chien-Hung Tsai, Kuan-Hua Lai, Chun-Yu Chen, 2019, 2019 IEEE 8th Global Conference on Consumer Electronics (GCCE).

Chin-Wei Hsu, Chien-Hung Tsai, Kai-Yu Hu, 2017, 2017 IEEE Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia).

Shean-Jen Chen, Fan-Ching Chien, K.-T. Huang, 2002, SPIE Optics + Photonics.

Sheng-Ming Wang, Chien-Hung Tsai, Yi-Yang Tsai, 2016, 2016 IEEE 5th Global Conference on Consumer Electronics.

Chien-Hung Tsai, Chun-Hung Yang, Chi-Wai Leng, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Chien-Wu Tsai, Chien-Hung Tsai, Kai-Yu Hu, 2021, IEEE Transactions on Power Electronics.

Chien-Hung Tsai, Jia-Hui Wang, Sheng-Wen Lai, 2012, IEEE Transactions on Circuits and Systems II: Express Briefs.

Chien-Hung Tsai, Shih-Mei Lin, Kai-Yu Hu, 2015, IEEE Transactions on Circuits and Systems I: Regular Papers.

Chien-Hung Tsai, Chun-Ping Niou, Ta-Jin Chen, 2018, 2018 International Symposium on VLSI Design, Automation and Test (VLSI-DAT).

Chien-Hung Tsai, Wei-Hsun Chang, Hung-Wei Chang, 2009, 2009 International Conference on Power Electronics and Drive Systems (PEDS).

Chien-Hung Tsai, Jia-Hui Wang, Wei-Hsun Chang, 2010, Proceedings of 2010 International Symposium on VLSI Design, Automation and Test.

Chien-Hung Tsai, Kian-Fu Wong, 2011, 2011 IEEE Ninth International Conference on Power Electronics and Drive Systems.

Chien-Hung Tsai, Huei-Shan Chen, Guan-Lin Li, 2012, 2012 IEEE 13th Workshop on Control and Modeling for Power Electronics (COMPEL).

Chien-Hung Tsai, Jia-Hui Wang, Huei-Sheng Jhuang, 2010, The 2010 International Conference on Green Circuits and Systems.