Vibhu Sharma

发表

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Gerard Villar Pique, Yu Pu, Maarten Vertregt, 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2011, IEEE Journal of Solid-State Circuits.

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2012, IEEE Micro.

Wim Dehaene, Vibhu Sharma, Francky Catthoor, 2013 .

Vibhu Sharma, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).