Stefan Cosemans

发表

Guido Groeseneken, Malgorzata Jurczak, Stefan Cosemans, 2015, IEEE Transactions on Electron Devices.

Said Hamdioui, Mottaqiallah Taouil, Pieter Weckx, 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Guido Groeseneken, Malgorzata Jurczak, Stefan Cosemans, 2015, IEEE Transactions on Electron Devices.

Guido Groeseneken, Dirk Wouters, Malgorzata Jurczak, 2013, VLSIT 2013.

Wim Dehaene, Praveen Raghavan, Pieter Weckx, 2017, 2017 47th European Solid-State Device Research Conference (ESSDERC).

Guido Groeseneken, Malgorzata Jurczak, Stefan Cosemans, 2014, 2014 IEEE 6th International Memory Workshop (IMW).

Francky Catthoor, Said Hamdioui, Mottaqiallah Taouil, 2016, 2016 IEEE 19th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS).

Osman S. Unsal, Adrián Cristal, Vasileios Karakostas, 2015, Proceedings of the 2015 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH´15).

Francky Catthoor, Wim Dehaene, Said Hamdioui, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Wim Dehaene, Said Hamdioui, Mottaqiallah Taouil, 2015 .

Wim Dehaene, Praveen Raghavan, Stefan Cosemans, 2011 .

Geert Vandenberghe, Wim Dehaene, Staf Verhaegen, 2008, SPIE Advanced Lithography.

Francky Catthoor, Said Hamdioui, Mottaqiallah Taouil, 2015, 2015 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

Wim Dehaene, Stefan Cosemans, Francky Catthoor, 2006 .

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Guido Groeseneken, Malgorzata Jurczak, Stefan Cosemans, 2013, 2013 5th IEEE International Memory Workshop.

Wim Dehaene, Praveen Raghavan, Pieter Weckx, 2017, 2017 IEEE International Conference on IC Design and Technology (ICICDT).

Wim Dehaene, Stefan Cosemans, Anselme Vignon, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Wim Dehaene, Stefan Cosemans, Bram Rooseleer, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Francky Catthoor, Said Hamdioui, Mottaqiallah Taouil, 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Francky Catthoor, Wim Dehaene, Said Hamdioui, 2016, 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2011, IEEE Journal of Solid-State Circuits.

Diederik Verkest, Peter Debacker, Stefan Cosemans, 2019, ArXiv.

Guido Groeseneken, Malgorzata Jurczak, Stefan Cosemans, 2012, 2012 Proceedings of the European Solid-State Device Research Conference (ESSDERC).

Francky Catthoor, Wim Dehaene, Said Hamdioui, 2018, Microelectron. Reliab..

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2011, 2011 Proceedings of the ESSCIRC (ESSCIRC).

Francky Catthoor, Said Hamdioui, Mottaqiallah Taouil, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Francky Catthoor, Said Hamdioui, Mottaqiallah Taouil, 2015, 2015 10th International Design & Test Symposium (IDT).

Said Hamdioui, Mottaqiallah Taouil, Francky Catthoor, 2019, 2019 IEEE European Test Symposium (ETS).

Francky Catthoor, Said Hamdioui, Mottaqiallah Taouil, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Dimitri Linten, Philippe Roussel, Robin Degraeve, 2018, 2018 48th European Solid-State Device Research Conference (ESSDERC).

Francky Catthoor, Wim Dehaene, Vibhu Sharma, 2012, IEEE Micro.

Rudy Lauwereins, Wim Dehaene, Philippe Roussel, 2018, 2018 48th European Solid-State Device Research Conference (ESSDERC).

Joris Van Campenhout, Stefan Cosemans, Zsolt Tokei, 2011, 2011 IEEE International Interconnect Technology Conference.

Francky Catthoor, Wim Dehaene, Said Hamdioui, 2016, 2016 21th IEEE European Test Symposium (ETS).

Francky Catthoor, Said Hamdioui, Mottaqiallah Taouil, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Wim Dehaene, Stefan Cosemans, Bram Rooseleer, 2012, IEEE Journal of Solid-State Circuits.

Wim Dehaene, Praveen Raghavan, Stefan Cosemans, 2011 .

Liesbet Van der Perre, Diederik Verkest, Wim Dehaene, 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).

Gauthier Lafruit, Geert Van der Plas, Xavier Rottenberg, 2013 .

Said Hamdioui, Mottaqiallah Taouil, Pieter Weckx, 2020, 2020 15th Design & Technology of Integrated Systems in Nanoscale Era (DTIS).

Paul Marchal, Wim Dehaene, Stefan Cosemans, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Diederik Verkest, Peter Debacker, Stefan Cosemans, 2021, 2021 19th IEEE International New Circuits and Systems Conference (NEWCAS).

Nico Jossart, Stefan Cosemans, Davide Crotti, 2021, 2021 IEEE International Reliability Physics Symposium (IRPS).

Shanshi Huang, Shimeng Yu, Francky Catthoor, 2022, IEEE Design & Test.

Diederik Verkest, Peter Debacker, Stefan Cosemans, 2021, 2021 IEEE Custom Integrated Circuits Conference (CICC).

Diederik Verkest, Peter Debacker, Debjyoti Bhattacharjee, 2021, 2021 IEEE International Symposium on Circuits and Systems (ISCAS).

Guido Groeseneken, Malgorzata Jurczak, Stefan Cosemans, 2015, IEEE Electron Device Letters.

Jeroen De Coster, Xavier Rottenberg, Stefan Cosemans, 2014, 2014 IEEE 27th International Conference on Micro Electro Mechanical Systems (MEMS).