Yibo Lin

发表

David Z. Pan, Mohamed Baker Alawieh, Wei Ye, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Meng Li, David Z. Pan, Qi-Xing Huang, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Ying Chen, Yayi Wei, David Z. Pan, 2019, ASP-DAC.

David Z. Pan, Yibo Lin, Wuxi Li, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Meng Li, David Z. Pan, Yibo Lin, 2018, ACM Trans. Design Autom. Electr. Syst..

David Z. Pan, Meng Li, Bei Yu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yibo Lin, Claire Heffernan, 2009, 2009 International Conference on Information and Communication Technologies and Development (ICTD).

David Z. Pan, Xiaoqing Xu, Bei Yu, 2016, Science China Information Sciences.

Lin F. Yang, Zhao Song, Yibo Lin, 2018, AISTATS.

Nan Sun, David Z. Pan, Biying Xu, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

David Z. Pan, Brucek Khailany, Yibo Lin, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

David Z. Pan, Mohamed Baker Alawieh, Yibo Lin, 2019, Journal of Microelectronic Manufacturing.

David Z. Pan, Biying Xu, Bei Yu, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

David Z. Pan, Yier Jin, Yibo Lin, 2020, 2020 IEEE International Symposium on Hardware Oriented Security and Trust (HOST).

David Z. Pan, Bei Yu, Yibo Lin, 2016, 2016 13th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Bei Yu, David Z. Pan, Xiaoqing Xu, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Inderjit S. Dhillon, Jiong Zhang, Zhao Song, 2018, ICML.

Bei Yu, Yuzhe Ma, Yibo Lin, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Chikaaki Kodama, Toshiya Kotani, Shigeki Nojima, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Z. Pan, Mohamed Baker Alawieh, Love Singhal, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Martin D. F. Wong, Tsung-Wei Huang, Yibo Lin, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Qiang Liu, David Z. Pan, Dilin Wang, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

David Z. Pan, Xiaoqing Xu, Yongsheng Sun, 2017, 2017 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

David Z. Pan, Biying Xu, Bei Yu, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Z. Pan, Mohamed Baker Alawieh, Wei Ye, 2018, 2018 IEEE International Test Conference (ITC).

Qiang Liu, Meng Li, David Z. Pan, 2019, ASP-DAC.

David Z. Pan, Charles J. Alpert, Zhuo Li, 2016, ASP-DAC.

David Z. Pan, Xiaoqing Xu, Wen-Hao Liu, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

David Z. Pan, Charles J. Alpert, Zhuo Li, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

David Z. Pan, Mohamed Baker Alawieh, Yibo Lin, 2020, IEEE Electron Device Letters.

David Z. Pan, Mohamed Baker Alawieh, Yibo Lin, 2020, IEEE Access.

David Z. Pan, Yufeng Guo, Mohamed Baker Alawieh, 2019, 2019 Cross Strait Quad-Regional Radio Science and Wireless Technology Conference (CSQRWC).

David Z. Pan, Brucek Khailany, Yibo Lin, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Z. Pan, Qi Sun, Iris Hui-Ru Jiang, 2018, ArXiv.

David Z. Pan, Mohamed Baker Alawieh, Wei Ye, 2019, ASP-DAC.

David Z. Pan, Yibo Lin, D. Pan, 2019, Machine Learning in VLSI Computer-Aided Design.

Yayi Wei, David Z. Pan, Yibo Lin, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Nan Sun, David Z. Pan, Biying Xu, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Hao Chen, David Z. Pan, Shaolan Li, 2020, IEEE Design & Test.

Jing Chen, David Z. Pan, Mohamed Baker Alawieh, 2019 .

Bei Yu, David Z. Pan, Xiaoqing Xu, 2016, SPIE Advanced Lithography.

Bei Yu, David Z. Pan, Yibo Lin, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Meng Li, David Z. Pan, Mohamed Baker Alawieh, 2019, 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE).

David Z. Pan, Peter Debacker, Praveen Raghavan, 2017, 2017 IEEE International Conference on Computer Design (ICCD).

David Z. Pan, Xiaoqing Xu, Lars Liebmann, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Shigeki Nojima, Meng Li, David Z. Pan, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shigeki Nojima, David Z. Pan, Mohamed Baker Alawieh, 2020, ISPD.

David Z. Pan, Qi Sun, Wei Li, 2019, 2019 IEEE 13th International Conference on ASIC (ASICON).

Meng Li, David Z. Pan, Xiaoqing Xu, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

David Z. Pan, Xiaoqing Xu, Yibo Lin, 2017, Photomask Technology.

Xuan Zeng, David Z. Pan, Changhao Yan, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

David Z. Pan, Xiaoqing Xu, Brian Cline, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

David Z. Pan, Mohamed Baker Alawieh, Meng Li, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Meng Li, David Z. Pan, Xiaoqing Xu, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Nan Sun, David Z. Pan, Shaolan Li, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Nan Sun, Derong Liu, David Z. Pan, 2019, ISPD.

David Z. Pan, Xiaoqing Xu, Vinicius S. Livramento, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Nan Sun, David Z. Pan, Shaolan Li, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yibo Lin, Yibo Lin, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

David Z. Pan, Yibo Lin, Wuxi Li, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Martin D. F. Wong, Tsung-Wei Huang, Yibo Lin, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Tsung-Wei Huang, Yibo Lin, Chun-Xun Lin, 2020, IEEE Transactions on Parallel and Distributed Systems.

David Z. Pan, Yibo Lin, Mingjie Liu, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yayi Wei, Zongqing Lu, Yibo Lin, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Tsung-Wei Huang, Yibo Lin, Chun-Xun Lin, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yibo Lin, Zizheng Guo, Jing Mai, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

David Z. Pan, Yibo Lin, Mingjie Liu, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Yayi Wei, Zongqing Lu, Yibo Lin, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Bei Yu, Yibo Lin, Qi Sun, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Tsung-Wei Huang, Yibo Lin, Zizheng Guo, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Yuzhe Ma, Bei Yu, Yibo Lin, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yayi Wei, Yibo Lin, Xiaojing Su, 2021, Photomask Technology.

Nobuyuki Yoshikawa, Mengshu Sun, Bei Yu, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Jiaqi Gu, David Z. Pan, Brucek Khailany, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.